Strings

From PS4 Developer wiki
Jump to navigation Jump to search

Not to be confused with Build Strings

Function Names[edit | edit source]

VOP_ISLOCKED_AP	
VOP_ISLOCKED_APV	
VOP_LOOKUP_AP	
VOP_LOOKUP_APV	
VOP_CACHEDLOOKUP_AP	
VOP_CACHEDLOOKUP_APV	
VOP_CREATE_AP	
VOP_CREATE_APV	
VOP_WHITEOUT_AP	
VOP_WHITEOUT_APV	
VOP_MKNOD_AP	
VOP_MKNOD_APV	
VOP_OPEN_AP	
VOP_OPEN_APV	
VOP_CLOSE_AP	
VOP_CLOSE_APV	
VOP_ACCESS_AP	
VOP_ACCESS_APV	
VOP_ACCESSX_AP	
VOP_ACCESSX_APV	
VOP_GETATTR_AP	
VOP_GETATTR_APV	
VOP_SETATTR_AP	
VOP_SETATTR_APV	
VOP_MARKATIME_AP	
VOP_MARKATIME_APV	
VOP_READ_AP	
VOP_READ_APV	
VOP_WRITE_AP	
VOP_WRITE_APV	
VOP_IOCTL_AP	
VOP_IOCTL_APV	
VOP_POLL_AP	
VOP_POLL_APV	
VOP_KQFILTER_AP	
VOP_KQFILTER_APV	
VOP_REVOKE_AP	
VOP_REVOKE_APV	
VOP_FSYNC_AP	
VOP_FSYNC_APV	
VOP_REMOVE_AP	
VOP_REMOVE_APV	
VOP_LINK_AP	
VOP_LINK_APV	
VOP_RENAME_AP	
VOP_RENAME_APV	
VOP_MKDIR_AP	
VOP_MKDIR_APV	
VOP_RMDIR_AP	
VOP_RMDIR_APV	
VOP_SYMLINK_AP	
VOP_SYMLINK_APV	
VOP_READDIR_AP	
VOP_READDIR_APV	
VOP_READLINK_AP	
VOP_READLINK_APV	
VOP_INACTIVE_AP	
VOP_INACTIVE_APV	
VOP_RECLAIM_AP	
VOP_RECLAIM_APV	
VOP_LOCK1_AP	
VOP_LOCK1_APV	
VOP_UNLOCK_AP	
VOP_UNLOCK_APV	
VOP_BMAP_AP	
VOP_BMAP_APV	
VOP_STRATEGY_AP	
VOP_STRATEGY_APV	
VOP_GETWRITEMOUNT_AP	
VOP_GETWRITEMOUNT_APV	
VOP_PRINT_AP	
VOP_PRINT_APV	
VOP_PATHCONF_AP	
VOP_PATHCONF_APV	
VOP_ADVLOCK_AP	
VOP_ADVLOCK_APV	
VOP_ADVLOCKASYNC_AP	
VOP_ADVLOCKASYNC_APV	
VOP_ADVLOCKPURGE_AP	
VOP_ADVLOCKPURGE_APV	
VOP_REALLOCBLKS_AP	
VOP_REALLOCBLKS_APV	
VOP_GETPAGES_AP	
VOP_GETPAGES_APV	
VOP_PUTPAGES_AP	
VOP_PUTPAGES_APV	
VOP_GETACL_AP	
VOP_GETACL_APV	
VOP_SETACL_AP	
VOP_SETACL_APV	
VOP_ACLCHECK_AP	
VOP_ACLCHECK_APV	
VOP_CLOSEEXTATTR_AP	
VOP_CLOSEEXTATTR_APV	
VOP_GETEXTATTR_AP	
VOP_GETEXTATTR_APV	
VOP_LISTEXTATTR_AP	
VOP_LISTEXTATTR_APV	
VOP_OPENEXTATTR_AP	
VOP_OPENEXTATTR_APV	
VOP_DELETEEXTATTR_AP	
VOP_DELETEEXTATTR_APV	
VOP_SETEXTATTR_AP	
VOP_SETEXTATTR_APV	
VOP_SETLABEL_AP	
VOP_SETLABEL_APV	
VOP_VPTOFH_AP	
VOP_VPTOFH_APV	
VOP_VPTOCNP_AP	
VOP_VPTOCNP_APV	
VOP_ALLOCATE_AP	
VOP_ALLOCATE_APV	
VOP_UNP_BIND_AP	
VOP_UNP_BIND_APV	
VOP_UNP_CONNECT_AP	
VOP_UNP_CONNECT_APV	
VOP_UNP_DETACH_AP	
VOP_UNP_DETACH_APV	
VOP_SPARE4_AP	
VOP_SPARE4_APV	
VOP_SPARE5_AP	
VOP_SPARE5_APV	
ata_null_locking	
ata_null_setmode	
ata_null_getrev	
null_msi_count	
null_route_interrupt	
default_fullname	
default_resize	
default_precheck	
default_recover	
null_add_child	
null_alloc_resource	
null_remap_intr	
null_shutdown	
null_suspend	
null_resume	
null_quiesce	
default_ihand	
default_ipend	
default_sysdev	
acpi_generic_id_probe	
acpi_wmi_generic_provides_guid_string	
sce_cam_error_log_init	
sce_cam_error_put	
cam_strvis	
cam_strmatch	
cam_quirkmatch	
cam_fetch_status_entry	
camstatusentrycomp	
cam_error_string	
cam_error_print	
cam_calc_geometry	
periphdriver_register	
periphdriver_init	
cam_periph_alloc	
cam_periph_find	
cam_periph_list	
cam_periph_acquire	
cam_periph_release_locked	
camperiphfree	
cam_periph_release	
cam_periph_hold	
cam_periph_unhold	
cam_periph_invalidate	
cam_periph_mapmem	
cam_periph_unmapmem	
cam_periph_getccb	
cam_periph_ccbwait	
cam_periph_ioctl	
cam_periph_runccb	
cam_release_devq	
cam_freeze_devq	
cam_freeze_devq_arg	
cam_periph_async	
cam_periph_bus_settle	
cam_periph_freeze_after_event	
cam_periph_error	
camperiphdone	
camperiphsensedone	
camq_alloc	
camq_init	
camq_free	
camq_fini	
camq_resize	
camq_insert	
camq_remove	
heap_down	
camq_change_priority	
cam_devq_alloc	
cam_devq_init	
cam_devq_free	
cam_devq_resize	
cam_ccbq_alloc	
cam_ccbq_init	
cam_ccbq_free	
cam_ccbq_fini	
cam_ccbq_resize	
cam_simq_alloc	
cam_simq_free	
cam_sim_alloc	
cam_sim_free	
cam_sim_release	
cam_sim_hold	
cam_sim_set_path	
xptopen	
xptclose	
xptioctl	
xpt_rescan	
xpt_print	
xpt_free_path	
xpt_free_ccb	
xpt_rescan_done	
xpt_setup_ccb	
xpt_lock_buses	
xpt_path_comp	
xpt_unlock_buses	
xpt_add_periph	
xpt_remove_periph	
xpt_announce_periph	
xpt_getattr	
xpt_action	
xpt_sim_opened	
xpt_action_default	
xpt_run_dev_allocq	
xpt_run_dev_sendq	
xpt_freeze_devq	
xpt_done	
xpt_release_device	
xpt_acquire_device	
xpt_dev_ccbq_resize	
xpt_release_devq_timeout	
xpt_release_devq_rl	
xpt_freeze_devq_rl	
xpt_polled_action	
camisr_runqueue	
xpt_schedule	
xpt_schedule_dev	
xpt_merge_ccb	
xpt_create_path	
xpt_compile_path	
xpt_create_path_unlocked	
xpt_find_bus	
xpt_release_bus	
xpt_release_target	
xpt_release_path	
xpt_path_counts	
xpt_print_path	
xpt_path_string	
xpt_path_path_id	
xpt_path_target_id	
xpt_path_lun_id	
xpt_path_sim	
xpt_path_periph	
xpt_path_legacy_ata_id	
xpt_release_ccb	
xpt_alloc_device_default	
xpt_dev_async_default	
xpt_bus_register	
xpt_async	
xpt_alloc_ccb_nowait	
xpt_bus_deregister	
xpt_freeze_simq	
xpt_release_devq	
xpt_release_devq_device	
xpt_release_simq	
xpt_alloc_ccb	
xpt_alloc_device	
xpt_start_tags	
xpt_stop_tags	
xpt_hold_boot	
xpt_release_boot	
xpt_finishconfig_task	
xpt_register_async	
xptsetasyncfunc	
xptsetasyncbusfunc	
xptbustraverse	
xptdefbusfunc	
xptpassannouncefunc	
xptpdrvtraverse	
xptpdperiphtraverse	
xptperiphmatch	
xptedtbusfunc	
xptedttargetfunc	
xptedtdevicefunc	
xptedtperiphfunc	
xptdone	
cam_module_event_handler	
xptaction	
xptpoll	
xptregister	
camisr	
xpt_config	
xpt_boot_delay	
xpt_scanner_thread	
xpt_modevent	
xpt_periph_init	
ata_version	
ata_op_string	
ata_cmd_string	
ata_res_string	
ata_command_sbuf	
ata_status_sbuf	
ata_res_sbuf	
ata_print_ident	
ata_logical_sector_size	
ata_physical_sector_size	
ata_logical_sector_offset	
ata_28bit_cmd	
ata_48bit_cmd	
ata_ncq_cmd	
ata_reset_cmd	
ata_pm_read_cmd	
ata_pm_write_cmd	
ata_bswap	
ata_btrim	
ata_bpack	
ata_max_pmode	
ata_max_wmode	
ata_max_umode	
ata_max_mode	
ata_mode2string	
ata_string2mode	
ata_mode2speed	
ata_revision2speed	
ata_speed2revision	
ata_identify_match	
ata_static_identify_match	
ata_alloc_device	
ata_action	
ata_dev_async	
ata_announce_periph	
ata_get_xport	
ata_scan_lun	
ata_set_transfer_settings	
xptscandone	
proberegister	
probecleanup	
probestart	
probedone	
ata_device_transport	
ata_scan_bus	
aprobe_modevent	
probe_periph_init	
pmp_modevent	
pmpinit	
pmpasync	
pmpregister	
pmponinvalidate	
pmpcleanup	
pmpstart	
pmpdone	
pmprelease	
pmpsysctlinit	
scsi_alloc_device	
scsi_action	
scsi_dev_async	
scsi_announce_periph	
scsi_get_xport	
scsi_toggle_tags	
scsi_scan_lun	
scsi_set_transfer_settings	
xptscandone_0	
proberegister_0	
probecleanup_0	
probestart_0	
probedone_0	
scsi_devise_transport	
probeschedule	
scsi_scan_bus	
probe_modevent	
probe_periph_init_0	
sysctl_cam_search_luns	
scsi_op_desc	
scsi_inquiry_match	
scsi_sense_desc	
fetchtableentries	
scsi_error_action	
scsi_extract_sense_len	
scsi_cdb_string	
scsi_status_string	
scsi_command_string	
scsi_desc_iterate	
scsi_find_desc	
scsi_set_sense_data_va	
scsi_set_sense_data	
scsi_get_sense_info	
scsi_sense_type	
scsi_get_sks	
scsi_get_block_info	
scsi_get_stream_info	
scsi_info_sbuf	
scsi_command_sbuf	
scsi_progress_sbuf	
scsi_sks_sbuf	
scsi_fru_sbuf	
scsi_stream_sbuf	
scsi_block_sbuf	
scsi_sense_info_sbuf	
scsi_sense_command_sbuf	
scsi_sense_sks_sbuf	
scsi_sense_fru_sbuf	
scsi_sense_stream_sbuf	
scsi_sense_block_sbuf	
scsi_sense_progress_sbuf	
scsi_sense_generic_sbuf	
scsi_sense_desc_sbuf	
scsi_sense_only_sbuf	
scsi_sense_sbuf	
scsi_sense_string	
scsi_sense_print	
scsi_extract_sense	
scsi_get_sense_key	
scsi_get_asc	
scsi_get_ascq	
scsi_print_inquiry	
scsi_calc_syncsrate	
scsi_calc_syncparam	
scsi_devid_is_naa_ieee_reg	
scsi_devid_is_sas_target	
scsi_get_devid	
sce_scsi_pass_throught_16_command	
scsi_test_unit_ready_0	
scsi_request_sense_0	
scsi_inquiry_0	
scsi_mode_sense	
scsi_mode_sense_len	
scsi_mode_select	
scsi_mode_select_len	
scsi_log_sense	
scsi_log_select	
scsi_prevent	
scsi_read_capacity	
scsi_read_capacity_16	
scsi_report_luns	
scsi_report_target_group	
scsi_set_target_group	
scsi_synchronize_cache	
scsi_read_write	
scsi_receive_diagnostic_results	
scsi_send_diagnostic	
scsi_start_stop	
scsi_static_inquiry_match	
scsi_devid_match	
sysctl_scsi_delay	
init_scsi_delay	
ascentrycomp	
senseentrycomp	
cdinit	
scsi_report_key	
scsi_send_key	
scsi_read_dvd_structure	
cdasync	
cdshutdown	
cdregister	
cdoninvalidate	
cdcleanup	
cdstart	
cddone	
cderror	
cdchangerschedule	
cdrunchangerqueue	
cdshorttimeout	
cd6byteworkaround	
cdsysctlinit	
cdopen	
cdclose	
cdstrategy	
cdioctl	
cdschedule	
cdcheckmedia	
cdgetmode	
cdsetmode	
cdreadtoc	
cdstartunit	
cdstopunit	
cdprevent	
cdsetspeed	
cdgetccb	
cdcmdsizesysctl	
cd_modevent	
adainit	
adaasync	
adasuspend	
adaresume	
adashutdown	
adaflush	
adaspindown	
adadone	
adaregister	
adaoninvalidate	
adacleanup	
adastart	
adasysctlinit	
adaopen	
adaclose	
adastrategy	
adagetattr	
adadump	
adasendorderedtag	
ada_modevent	
dainit	
sce_smart_save_exec	
dadone	
sce_standby_exec	
daerror	
dasetgeom	
cmd6workaround	
daasync	
dashutdown	
daregister	
daoninvalidate	
dacleanup	
dastart	
dasysctlinit	
dasendorderedtag	
daopen	
daclose	
dastrategy	
dadump	
dagetattr	
daprevent	
dacmdsizesysctl	
da_modevent	
passinit	
passopen	
passclose	
passioctl	
passerror	
passdone	
passasync	
passregister	
passoninvalidate	
passcleanup	
passstart	
pass_add_physpath	
pass_modevent	
smp_error_desc	
smp_command_desc	
smp_command_decode	
smp_command_sbuf	
smp_error_sbuf	
smp_report_general_sbuf	
smp_report_manuf_info_sbuf	
smp_report_general	
smp_discover	
smp_report_manuf_info	
smp_phy_control	
AcpiDsGetBufferFieldArguments	
AcpiDsExecuteArguments	
AcpiDsGetBankFieldArguments	
AcpiDsGetBufferArguments	
AcpiDsGetPackageArguments	
AcpiDsGetRegionArguments	
AcpiDsExecBeginControlOp	
AcpiDsExecEndControlOp	
AcpiDsCreateBufferField	
AcpiDsCreateField	
AcpiDsGetFieldNames	
AcpiDsInitFieldObjects	
AcpiDsCreateBankField	
AcpiDsCreateIndexField	
AcpiDsInitializeObjects	
AcpiDsInitOneObject	
AcpiDsMethodError	
AcpiDsBeginMethodExecution	
AcpiDsCallControlMethod	
AcpiDsTerminateControlMethod	
AcpiDsRestartControlMethod	
AcpiDsMethodDataInit	
AcpiDsMethodDataDeleteAll	
AcpiDsMethodDataInitArgs	
AcpiDsMethodDataGetNode	
AcpiDsMethodDataGetValue	
AcpiDsStoreObjectToLocal	
AcpiDsBuildInternalBufferObj	
AcpiDsBuildInternalPackageObj	
AcpiDsBuildInternalObject	
AcpiDsCreateNode	
AcpiDsInitObjectFromOp	
AcpiDsInitializeRegion	
AcpiDsEvalBufferFieldOperands	
AcpiDsInitBufferField	
AcpiDsEvalRegionOperands	
AcpiDsEvalTableRegionOperands	
AcpiDsEvalDataObjectOperands	
AcpiDsEvalBankFieldOperands	
AcpiDsClearImplicitReturn	
AcpiDsDoImplicitReturn	
AcpiDsIsResultUsed	
AcpiDsDeleteResultIfNotUsed	
AcpiDsResolveOperands	
AcpiDsClearOperands	
AcpiDsCreateOperand	
AcpiDsCreateOperands	
AcpiDsEvaluateNamePath	
AcpiDsGetPredicateValue	
AcpiDsExecBeginOp	
AcpiDsExecEndOp	
AcpiDsInitCallbacks	
AcpiDsLoad1BeginOp	
AcpiDsLoad1EndOp	
AcpiDsLoad2BeginOp	
AcpiDsLoad2EndOp	
AcpiDsScopeStackClear	
AcpiDsScopeStackPush	
AcpiDsScopeStackPop	
AcpiDsResultPop	
AcpiDsResultPush	
AcpiDsObjStackPush	
AcpiDsObjStackPop	
AcpiDsObjStackPopAndDelete	
AcpiDsGetCurrentWalkState	
AcpiDsPushWalkState	
AcpiDsPopWalkState	
AcpiDsCreateWalkState	
AcpiDsInitAmlWalk	
AcpiDsDeleteWalkState	
AcpiEvInitializeEvents	
AcpiEvInstallXruptHandlers	
AcpiEvFixedEventDetect	
AcpiEvInitGlobalLockHandler	
AcpiEvGlobalLockHandler	
AcpiEvRemoveGlobalLockHandler	
AcpiEvAcquireGlobalLock	
AcpiEvReleaseGlobalLock	
AcpiEvUpdateGpeEnableMask	
AcpiEvEnableGpe	
AcpiEvAddGpeReference	
AcpiEvRemoveGpeReference	
AcpiEvLowGetGpeInfo	
AcpiEvGetGpeEventInfo	
AcpiEvGpeDetect	
AcpiEvGpeDispatch	
AcpiEvFinishGpe	
AcpiEvAsynchExecuteGpeMethod	
AcpiEvAsynchEnableGpe	
AcpiEvDeleteGpeBlock	
AcpiEvCreateGpeBlock	
AcpiEvInitializeGpeBlock	
AcpiEvGpeInitialize	
AcpiEvUpdateGpes	
AcpiEvMatchGpeMethod	
AcpiEvWalkGpeList	
AcpiEvValidGpeEvent	
AcpiEvGetGpeDevice	
AcpiEvGetGpeXruptBlock	
AcpiEvDeleteGpeXrupt	
AcpiEvDeleteGpeHandlers	
AcpiEvIsNotifyObject	
AcpiEvQueueNotifyRequest	
AcpiEvNotifyDispatch	
AcpiEvTerminate	
AcpiEvInstallRegionHandlers	
AcpiEvInstallSpaceHandler	
AcpiEvInitializeOpRegions	
AcpiEvExecuteRegMethods	
AcpiEvExecuteRegMethod	
AcpiEvAddressSpaceDispatch	
AcpiEvDetachRegion	
AcpiEvAttachRegion	
AcpiEvInstallHandler	
AcpiEvRegRun	
AcpiEvSystemMemoryRegionSetup	
AcpiEvIoSpaceRegionSetup	
AcpiEvPciConfigRegionSetup	
AcpiEvPciBarRegionSetup	
AcpiEvCmosRegionSetup	
AcpiEvDefaultRegionSetup	
AcpiEvInitializeRegion	
AcpiEvGpeXruptHandler	
AcpiEvInstallSciHandler	
AcpiEvSciXruptHandler	
AcpiEvRemoveSciHandler	
AcpiInstallExceptionHandler	
AcpiInstallGlobalEventHandler	
AcpiInstallFixedEventHandler	
AcpiRemoveFixedEventHandler	
AcpiInstallNotifyHandler	
AcpiRemoveNotifyHandler	
AcpiInstallGpeHandler	
AcpiRemoveGpeHandler	
AcpiAcquireGlobalLock	
AcpiReleaseGlobalLock	
AcpiEnable	
AcpiDisable	
AcpiEnableEvent	
AcpiDisableEvent	
AcpiClearEvent	
AcpiGetEventStatus	
AcpiUpdateAllGpes	
AcpiEnableGpe	
AcpiDisableGpe	
AcpiSetGpe	
AcpiSetupGpeForWake	
AcpiSetGpeWakeMask	
AcpiClearGpe	
AcpiGetGpeStatus	
AcpiFinishGpe	
AcpiDisableAllGpes	
AcpiEnableAllRuntimeGpes	
AcpiInstallGpeBlock	
AcpiRemoveGpeBlock	
AcpiGetGpeDevice	
AcpiInstallAddressSpaceHandler	
AcpiRemoveAddressSpaceHandler	
AcpiExLoadTableOp	
AcpiExAddTable	
AcpiExUnloadTable	
AcpiExLoadOp	
AcpiExConvertToInteger	
AcpiExConvertToBuffer	
AcpiExConvertToString	
AcpiExConvertToAscii	
AcpiExConvertToTargetType	
AcpiExCreateAlias	
AcpiExCreateEvent	
AcpiExCreateMutex	
AcpiExCreateRegion	
AcpiExCreateProcessor	
AcpiExCreatePowerResource	
AcpiExCreateMethod	
AcpiExDoDebugObject	
AcpiExReadDataFromField	
AcpiExWriteDataToField	
AcpiExAccessRegion	
AcpiExWriteWithUpdateRule	
AcpiExFieldDatumIo	
AcpiExExtractFromField	
AcpiExInsertIntoField	
AcpiExGetObjectReference	
AcpiExConcatTemplate	
AcpiExDoConcatenate	
AcpiExDoMathOp	
AcpiExDoLogicalNumericOp	
AcpiExDoLogicalOp	
AcpiExUnlinkMutex	
AcpiExAcquireMutexObject	
AcpiExAcquireMutex	
AcpiExReleaseMutexObject	
AcpiExReleaseMutex	
AcpiExReleaseAllMutexes	
AcpiExGetNameString	
AcpiExAllocateNameString	
AcpiExNameSegment	
AcpiExOpcode_0A_0T_1R	
AcpiExOpcode_1A_0T_0R	
AcpiExOpcode_1A_1T_0R	
AcpiExOpcode_1A_1T_1R	
AcpiExOpcode_1A_0T_1R	
AcpiExOpcode_2A_0T_0R	
AcpiExOpcode_2A_2T_1R	
AcpiExOpcode_2A_1T_1R	
AcpiExOpcode_2A_0T_1R	
AcpiExOpcode_3A_0T_0R	
AcpiExOpcode_3A_1T_1R	
AcpiExOpcode_6A_0T_1R	
AcpiExDoMatch	
AcpiExPrepCommonFieldObject	
AcpiExPrepFieldValue	
AcpiExSystemMemorySpaceHandler	
AcpiExSystemIoSpaceHandler	
AcpiExPciConfigSpaceHandler	
AcpiExCmosSpaceHandler	
AcpiExPciBarSpaceHandler	
AcpiExDataTableSpaceHandler	
AcpiExResolveNodeToValue	
AcpiExResolveToValue	
AcpiExResolveMultiple	
AcpiExResolveOperands	
AcpiExStore	
AcpiExStoreObjectToNode	
AcpiExResolveObject	
AcpiExStoreObjectToObject	
AcpiExStoreBufferToBuffer	
AcpiExStoreStringToString	
AcpiExSystemWaitSemaphore	
AcpiExSystemWaitMutex	
AcpiExSystemDoStall	
AcpiExSystemDoSleep	
AcpiExSystemSignalEvent	
AcpiExSystemWaitEvent	
AcpiExSystemResetEvent	
AcpiExEnterInterpreter	
AcpiExReacquireInterpreter	
AcpiExExitInterpreter	
AcpiExRelinquishInterpreter	
AcpiExTruncateFor32bitTable	
AcpiExAcquireGlobalLock	
AcpiExReleaseGlobalLock	
AcpiExEisaIdToString	
AcpiExIntegerToString	
AcpiHwSetMode	
AcpiHwGetMode	
AcpiHwGetGpeRegisterBit	
AcpiHwLowSetGpe	
AcpiHwClearGpe	
AcpiHwGetGpeStatus	
AcpiHwDisableGpeBlock	
AcpiHwClearGpeBlock	
AcpiHwEnableRuntimeGpeBlock	
AcpiHwDisableAllGpes	
AcpiHwEnableAllRuntimeGpes	
AcpiHwEnableAllWakeupGpes	
AcpiHwEnableWakeupGpeBlock	
AcpiHwDerivePciId	
AcpiHwValidateRegister	
AcpiHwRead	
AcpiHwWrite	
AcpiHwClearAcpiStatus	
AcpiHwRegisterWrite	
AcpiHwGetBitRegisterInfo	
AcpiHwWritePm1Control	
AcpiHwRegisterRead	
AcpiSetFirmwareWakingVector	
AcpiSetFirmwareWakingVector64	
AcpiEnterSleepStatePrep	
AcpiEnterSleepState	
AcpiEnterSleepStateS4bios	
AcpiLeaveSleepState	
AcpiGetTimerResolution	
AcpiGetTimer	
AcpiGetTimerDuration	
AcpiHwReadPort	
AcpiHwWritePort	
AcpiReset	
AcpiRead	
AcpiWrite	
AcpiReadBitRegister	
AcpiWriteBitRegister	
AcpiGetSleepTypeData	
AcpiNsRootInitialize	
AcpiNsLookup	
AcpiNsCreateNode	
AcpiNsDeleteNode	
AcpiNsRemoveNode	
AcpiNsInstallNode	
AcpiNsDeleteChildren	
AcpiNsDeleteNamespaceSubtree	
AcpiNsDeleteNamespaceByOwner	
AcpiNsEvaluate	
AcpiNsExecModuleCodeList	
AcpiNsInitializeObjects	
AcpiNsInitOneObject	
AcpiNsInitializeDevices	
AcpiNsFindIniMethods	
AcpiNsInitOneDevice	
AcpiNsLoadTable	
AcpiNsBuildExternalPath	
AcpiNsGetExternalPathname	
AcpiNsGetPathnameLength	
AcpiNsHandleToPathname	
AcpiNsAttachObject	
AcpiNsDetachObject	
AcpiNsGetAttachedObject	
AcpiNsGetSecondaryObject	
AcpiNsAttachData	
AcpiNsDetachData	
AcpiNsGetAttachedData	
AcpiNsOneCompleteParse	
AcpiNsParseTable	
AcpiNsCheckPredefinedNames	
AcpiNsCheckForPredefinedName	
AcpiNsCheckParameterCount	
AcpiNsCheckObjectType	
AcpiNsCheckPackageList	
AcpiNsRepairObject	
AcpiNsRepairNullElement	
AcpiNsRemoveNullElements	
AcpiNsRepairPackageList	
AcpiNsComplexRepairs	
AcpiNsRepair_ALR	
AcpiNsRepair_CID	
AcpiNsRepair_FDE	
AcpiNsRepair_HID	
AcpiNsRepair_PSS	
AcpiNsRepair_TSS	
AcpiNsCheckSortedList	
AcpiNsSearchOneScope	
AcpiNsSearchAndEnter	
AcpiNsPrintNodePathname	
AcpiNsValidRootPrefix	
AcpiNsGetType	
AcpiNsLocal	
AcpiNsGetInternalNameLength	
AcpiNsBuildInternalName	
AcpiNsInternalizeName	
AcpiNsExternalizeName	
AcpiNsValidateHandle	
AcpiNsTerminate	
AcpiNsOpensScope	
AcpiNsGetNode	
AcpiNsGetNextNode	
AcpiNsGetNextNodeTyped	
AcpiNsWalkNamespace	
AcpiEvaluateObjectTyped	
AcpiEvaluateObject	
AcpiWalkNamespace	
AcpiGetDevices	
AcpiNsGetDeviceCallback	
AcpiAttachData	
AcpiDetachData	
AcpiGetData	
AcpiGetHandle	
AcpiGetName	
AcpiGetObjectInfo	
AcpiInstallMethod	
AcpiGetType	
AcpiGetParent	
AcpiGetNextObject	
AcpiPsGetNextPackageEnd	
AcpiPsGetNextNamestring	
AcpiPsGetNextNamepath	
AcpiPsGetNextSimpleArg	
AcpiPsGetNextArg	
AcpiPsParseLoop	
AcpiPsCompleteOp	
AcpiPsGetOpcodeInfo	
AcpiPsGetOpcodeName	
AcpiPsGetArgumentCount	
AcpiPsGetOpcodeSize	
AcpiPsPeekOpcode	
AcpiPsCompleteThisOp	
AcpiPsNextParseState	
AcpiPsParseAml	
AcpiPsGetParentScope	
AcpiPsHasCompletedScope	
AcpiPsInitScope	
AcpiPsPushScope	
AcpiPsPopScope	
AcpiPsCleanupScope	
AcpiPsGetArg	
AcpiPsAppendArg	
AcpiPsGetDepthNext	
AcpiPsCreateScopeOp	
AcpiPsAllocOp	
AcpiPsInitOp	
AcpiPsFreeOp	
AcpiPsIsLeadingChar	
AcpiPsIsPrefixChar	
AcpiPsGetName	
AcpiPsSetName	
AcpiPsDeleteParseTree	
AcpiDebugTrace	
AcpiPsExecuteMethod	
AcpiRsGetAddressCommon	
AcpiRsSetAddressCommon	
AcpiRsGetAmlLength	
AcpiRsGetListLength	
AcpiRsGetPciRoutingTableLength	
AcpiRsCreateResourceList	
AcpiRsCreatePciRoutingTable	
AcpiRsCreateAmlResources	
AcpiRsConvertAmlToResources	
AcpiRsConvertResourcesToAml	
AcpiRsConvertAmlToResource	
AcpiRsConvertResourceToAml	
AcpiRsDecodeBitmask	
AcpiRsEncodeBitmask	
AcpiRsMoveData	
AcpiRsSetResourceLength	
AcpiRsSetResourceHeader	
AcpiRsGetResourceSource	
AcpiRsSetResourceSource	
AcpiRsGetPrtMethodData	
AcpiRsGetCrsMethodData	
AcpiRsGetPrsMethodData	
AcpiRsGetMethodData	
AcpiRsSetSrsMethodData	
AcpiGetIrqRoutingTable	
AcpiGetCurrentResources	
AcpiGetPossibleResources	
AcpiSetCurrentResources	
AcpiResourceToAddress64	
AcpiGetVendorResource	
AcpiWalkResources	
AcpiRsMatchVendorResource	
AcpiTbParseFadt	
AcpiTbCreateLocalFadt	
AcpiTbFindTable	
AcpiTbVerifyTable	
AcpiTbAddTable	
AcpiTbDeleteTable	
AcpiTbStoreTable	
AcpiTbResizeRootTableList	
AcpiTbTerminate	
AcpiTbDeleteNamespaceByOwner	
AcpiTbAllocateOwnerId	
AcpiTbReleaseOwnerId	
AcpiTbGetOwnerId	
AcpiTbIsTableLoaded	
AcpiTbSetTableLoadedFlag	
AcpiTbInitializeFacs	
AcpiTbTablesLoaded	
AcpiTbPrintTableHeader	
AcpiTbVerifyChecksum	
AcpiTbChecksum	
AcpiTbCheckDsdtHeader	
AcpiTbCopyDsdt	
AcpiTbInstallTable	
AcpiTbParseRootTable	
AcpiAllocateRootTable	
AcpiInitializeTables	
AcpiReallocateRootTable	
AcpiGetTableHeader	
AcpiGetTable	
AcpiGetTableByIndex	
AcpiLoadTables	
AcpiInstallTableHandler	
AcpiRemoveTableHandler	
AcpiFindRootPointer	
AcpiUtCreateCaches	
AcpiUtDeleteCaches	
AcpiUtValidateBuffer	
AcpiUtInitializeBuffer	
AcpiUtAllocate	
AcpiUtAllocateZeroed	
AcpiOsCreateCache	
AcpiOsPurgeCache	
AcpiOsDeleteCache	
AcpiOsReleaseObject	
AcpiOsAcquireObject	
AcpiUtCopyIobjectToEobject	
AcpiUtCopyIsimpleToEsimple	
AcpiUtCopyEobjectToIobject	
AcpiUtCopyIobjectToIobject	
AcpiUtCopySimpleObject	
AcpiUtCopyIelementToIelement	
AcpiUtCopyIelementToEelement	
AcpiUtDumpBuffer2	
AcpiUtDumpBuffer	
AcpiFormatException	
AcpiUtHexToAsciiChar	
AcpiUtGetRegionName	
AcpiUtGetEventName	
AcpiUtGetTypeName	
AcpiUtGetObjectTypeName	
AcpiUtGetNodeName	
AcpiUtGetDescriptorName	
AcpiUtGetReferenceName	
AcpiUtValidObjectType	
AcpiUtDeleteInternalObjectList	
AcpiUtRemoveReference	
AcpiUtUpdateObjectReference	
AcpiUtUpdateRefCount	
AcpiUtAddReference	
AcpiUtDeleteInternalObj	
AcpiUtEvaluateObject	
AcpiUtEvaluateNumericObject	
AcpiUtExecute_STA	
AcpiUtExecutePowerMethods	
AcpiUtInitGlobals	
AcpiUtExecute_HID	
AcpiUtExecute_UID	
AcpiUtExecute_CID	
AcpiUtSubsystemShutdown	
AcpiUtCreateRwLock	
AcpiUtDeleteRwLock	
AcpiUtAcquireReadLock	
AcpiUtReleaseReadLock	
AcpiUtAcquireWriteLock	
AcpiUtReleaseWriteLock	
AcpiUtShortDivide	
AcpiUtDivide	
AcpiUtValidateException	
AcpiUtIsPciRootBridge	
AcpiUtIsAmlTable	
AcpiUtAllocateOwnerId	
AcpiUtReleaseOwnerId	
AcpiUtStrupr	
AcpiUtPrintString	
AcpiUtDwordByteSwap	
AcpiUtSetIntegerWidth	
AcpiUtValidAcpiChar	
AcpiUtValidAcpiName	
AcpiUtRepairName	
AcpiUtStrtoul64	
AcpiUtCreateUpdateStateAndPush	
AcpiUtWalkPackageTree	
AcpiUtMutexInitialize	
AcpiUtMutexTerminate	
AcpiUtAcquireMutex	
AcpiUtReleaseMutex	
AcpiUtCreateInternalObjectDbg	
AcpiUtAllocateObjectDescDbg	
AcpiUtDeleteObjectDesc	
AcpiUtCreatePackageObject	
AcpiUtCreateIntegerObject	
AcpiUtCreateBufferObject	
AcpiUtCreateStringObject	
AcpiUtValidInternalObject	
AcpiUtGetObjectSize	
AcpiUtGetSimpleObjectSize	
AcpiUtGetElementLength	
AcpiUtInitializeInterfaces	
AcpiUtInterfaceTerminate	
AcpiUtInstallInterface	
AcpiUtRemoveInterface	
AcpiUtGetInterface	
AcpiUtOsiImplementation	
AcpiUtWalkAmlResources	
AcpiUtValidateResource	
AcpiUtGetDescriptorLength	
AcpiUtGetResourceType	
AcpiUtGetResourceLength	
AcpiUtGetResourceHeaderLength	
AcpiUtGetResourceEndTag	
AcpiUtCreatePkgStateAndPush	
AcpiUtCreatePkgState	
AcpiUtPushGenericState	
AcpiUtPopGenericState	
AcpiUtCreateGenericState	
AcpiUtCreateThreadState	
AcpiUtCreateUpdateState	
AcpiUtCreateControlState	
AcpiUtDeleteGenericState	
AcpiInitializeSubsystem	
AcpiEnableSubsystem	
AcpiInitializeObjects	
AcpiPurgeCachedObjects	
AcpiTerminate	
AcpiSubsystemStatus	
AcpiGetSystemInfo	
AcpiGetStatistics	
AcpiInstallInitializationHandler	
AcpiInstallInterface	
AcpiRemoveInterface	
AcpiInstallInterfaceHandler	
AcpiError	
AcpiException	
AcpiWarning	
AcpiInfo	
AcpiUtPredefinedWarning	
AcpiUtPredefinedInfo	
AcpiUtNamespaceError	
AcpiUtMethodError	
rijndaelKeySetupEnc	
rijndaelKeySetupDec	
rijndaelEncrypt	
rijndaelDecrypt	
rijndael_makeKey	
rijndael_cipherInit	
rijndael_blockEncrypt	
rijndael_padEncrypt	
rijndael_blockDecrypt	
rijndael_padDecrypt	
SHA256_Init	
SHA256_Update	
SHA256_Transform	
SHA256_Final	
SHA256_End	
SHA256_Data	
SHA512_Init	
SHA512_Update	
SHA512_Transform	
SHA512_Final	
SHA512_Last	
SHA512_End	
SHA512_Data	
SHA384_Init	
SHA384_Update	
SHA384_Final	
SHA384_End	
SHA384_Data	
db_get_value	
db_put_value	
db_find_breakpoint_here	
db_map_addr	
db_set_breakpoints	
db_map_current	
db_clear_breakpoints	
db_delete_cmd	
db_breakpoint_cmd	
db_set_breakpoint	
db_listbreak_cmd	
db_map_equal	
db_capture_write	
db_capture_writech	
db_capture_enterpager	
db_capture_exitpager	
db_capture_dump	
db_capture_cmd	
sysctl_debug_ddb_capture_data	
sysctl_debug_ddb_capture_bufsize	
db_capture_sysinit	
db_stack_trace_all	
db_dump	
db_stack_trace	
db_fncall	
db_gdb	
db_halt	
db_reset	
db_kill	
db_watchdog	
db_skip_to_eol	
db_command_init	
db_command_register	
db_command_unregister	
db_panic	
db_command_loop	
db_command	
db_command_script	
db_error	
db_hex2dec	
panic_cmd_del	
panic_cmd_add	
db_examine_cmd	
db_print_cmd	
db_print_loc_and_inst	
db_search_cmd	
db_expression	
db_add_expr	
db_mult_expr	
db_unary	
db_term	
db_readline	
db_inputchar	
db_check_interrupt	
db_delete	
db_read_line	
db_inject_line	
db_get_line	
db_unread_token	
db_read_token	
db_flush_lex	
db_init	
db_trace_self_wrapper	
db_trap	
X_db_line_at_pc	
X_db_lookup	
X_db_search_symbol	
X_db_sym_numargs	
X_db_symbol_values	
db_force_whitespace	
db_enable_pager	
db_disable_pager	
db_print_position	
db_printf	
db_putchar	
db_iprintf	
db_end_line	
db_putc	
db_pager	
db_show_regs	
db_procs_cmd	
db_ps	
dumpthread	
db_show_thread	
db_show_proc	
proc_show_del	
proc_show_add	
thread_show_del	
thread_show_add	
procs_show_all_del	
procs_show_all_add	
db_stop_at_pc	
db_restart_at_pc	
db_single_step_cmd	
db_trace_until_call_cmd	
db_trace_until_matching_cmd	
db_continue_cmd	
db_script_kdbenter	
db_script_exec	
db_scripts_cmd	
db_run_cmd	
db_script_cmd	
db_script_set	
db_unscript_cmd	
sysctl_debug_ddb_scripting_unscript	
sysctl_debug_ddb_scripting_script	
sysctl_debug_ddb_scripting_scripts	
db_var_db_cpu	
db_var_curcpu	
db_add_symbol_table	
db_eqname	
db_value_of_name	
db_lookup	
db_symbol_values	
db_value_of_name_pcpu	
db_value_of_name_vnet	
db_search_symbol	
db_printsym	
db_sym_numargs	
db_print_thread	
db_set_thread	
db_show_threads	
db_lookup_thread	
db_lookup_proc	
textdump_mkustar	
textdump_saveoff	
textdump_restoreoff	
textdump_writenextblock	
textdump_dumpsys	
db_textdump_cmd	
db_get_variable	
db_find_variable	
db_read_variable	
db_set_variable	
db_write_variable	
db_set_cmd	
db_deletewatch_cmd	
db_watchpoint_cmd	
db_set_watchpoint	
db_listwatch_cmd	
db_set_watchpoints	
db_clear_watchpoints	
db_deletehwatch_cmd	
db_hwatchpoint_cmd	
watches_show_del	
watches_show_add	
db_write_cmd	
AcpiOsGetLine	
AcpiOsSignal	
AcpiOsReadPort	
AcpiOsWritePort	
AcpiOsReadPciConfiguration	
AcpiOsWritePciConfiguration	
AcpiOsInstallInterruptHandler	
acpi_intr_handler	
acpi_intr_destroy	
AcpiOsRemoveInterruptHandler	
acpi_OverrideInterruptLevel	
acpi_intr_init	
AcpiOsAllocate	
AcpiOsFree	
AcpiOsMapMemory	
AcpiOsUnmapMemory	
AcpiOsGetPhysicalAddress	
AcpiOsReadable	
AcpiOsWritable	
AcpiOsReadMemory	
AcpiOsWriteMemory	
AcpiOsExecute	
AcpiOsSleep	
AcpiOsGetTimer	
AcpiOsStall	
AcpiOsGetThreadId	
acpi_task_execute	
acpi_taskq_init	
acpi_task_init	
AcpiOsPrintf	
AcpiOsVprintf	
AcpiOsCreateSemaphore	
AcpiOsDeleteSemaphore	
AcpiOsWaitSemaphore	
AcpiOsSignalSemaphore	
AcpiOsCreateMutex	
AcpiOsDeleteMutex	
AcpiOsAcquireMutex	
AcpiOsReleaseMutex	
AcpiOsCreateLock	
AcpiOsDeleteLock	
AcpiOsAcquireLock	
AcpiOsReleaseLock	
acpi_acquire_global_lock	
acpi_release_global_lock	
AcpiOsPredefinedOverride	
AcpiOsTableOverride	
acpiopen	
acpiclose	
acpiioctl	
acpi_probe	
acpi_attach	
acpi_shutdown	
acpi_suspend	
acpi_resume	
acpi_add_child	
acpi_print_child	
acpi_probe_nomatch	
acpi_driver_added	
acpi_read_ivar	
acpi_write_ivar	
acpi_get_rlist	
acpi_set_resource	
acpi_alloc_resource	
acpi_adjust_resource	
acpi_release_resource	
acpi_delete_resource	
acpi_child_pnpinfo_str_method	
acpi_child_location_str_method	
acpi_hint_device_unit	
acpi_device_id_probe	
acpi_device_eval_obj	
acpi_device_pwr_for_sleep	
acpi_device_scan_children	
acpi_isa_pnp_probe	
acpi_Startup	
acpi_identify	
acpi_alloc_sysres	
acpi_bus_alloc_gas	
acpi_MatchHid	
acpi_GetInteger	
acpi_name	
acpi_fake_objhandler	
acpi_DeviceIsPresent	
acpi_BatteryIsPresent	
acpi_GetHandleInScope	
acpi_AllocBuffer	
acpi_SetInteger	
acpi_ConvertBufferToInteger	
acpi_ForeachPackageObject	
acpi_FindIndexedResource	
acpi_AppendBufferResource	
acpi_SetIntrModel	
acpi_walk_subtables	
acpi_SetSleepState	
acpi_EnterSleepState	
acpi_ReqSleepState	
acpi_sleep_force	
acpi_UserNotify	
acpi_AckSleepState	
acpi_resync_clock	
acpi_wake_set_enable	
acpi_parse_prw	
acpi_event_power_button_sleep	
acpi_invoke_sleep_eventhandler	
acpi_event_power_button_wake	
acpi_invoke_wake_eventhandler	
acpi_event_sleep_button_sleep	
acpi_event_sleep_button_wake	
acpi_avoid	
acpi_disabled	
acpi_register_ioctl	
acpi_deregister_ioctl	
acpi_pm_register	
acpi_pm_func	
acpi_debug_sysctl	
acpi_set_debugging	
acpi_parse_debug	
acpi_enable_fixed_events	
acpi_sleep_enable	
acpi_wake_prep	
acpi_isa_get_logicalid	
acpi_device_scan_cb	
acpi_set_power_children	
acpi_supported_sleep_state_sysctl	
acpi_sleep_state_sysctl	
acpi_shutdown_final	
acpi_system_eventhandler_sleep	
acpi_system_eventhandler_wakeup	
acpi_probe_child	
acpi_wake_sysctl_walk	
acpi_wake_set_sysctl	
acpi_parse_interfaces	
acpi_debug_objects_sysctl	
acpi_modevent	
acpi_acad_probe	
acpi_acad_attach	
acpi_acad_get_acline	
acpi_acad_get_status	
acpi_acad_ioctl	
acpi_acad_sysctl	
acpi_acad_notify_handler	
acpi_acad_init_acline	
acpi_acad_ac_only	
acpi_battery_register	
acpi_battery_remove	
acpi_battery_get_units	
acpi_battery_get_info_expire	
acpi_battery_bst_valid	
acpi_battery_bif_valid	
acpi_battery_get_battinfo	
acpi_battery_ioctl	
acpi_battery_sysctl	
acpi_battery_units_sysctl	
acpi_button_probe	
acpi_button_attach	
acpi_button_suspend	
acpi_button_resume	
acpi_button_fixed_handler	
acpi_button_notify_handler	
acpi_button_notify_sleep	
acpi_button_notify_wakeup	
acpi_cmbat_probe	
acpi_cmbat_attach	
acpi_cmbat_detach	
acpi_cmbat_resume	
acpi_cmbat_bif	
acpi_cmbat_bst	
acpi_cmbat_get_bst	
acpi_cmbat_init_battery	
acpi_cmbat_get_bif	
acpi_cmbat_notify_handler	
acpi_cmbat_get_bif_task	
acpi_cpu_probe	
acpi_cpu_attach	
acpi_cpu_shutdown	
acpi_cpu_suspend	
acpi_cpu_resume	
acpi_cpu_add_child	
acpi_cpu_read_ivar	
acpi_cpu_get_rlist	
acpi_cpu_postattach	
acpi_cpu_startup	
acpi_cpu_cx_cst	
acpi_cpu_notify	
acpi_cpu_global_cx_lowest_sysctl	
acpi_cpu_idle	
acpi_cpu_cx_list	
acpi_cpu_cx_lowest_sysctl	
acpi_cpu_usage_sysctl	
acpi_ec_probe	
acpi_ec_attach	
acpi_ec_suspend	
acpi_ec_resume	
acpi_ec_shutdown	
acpi_ec_read_method	
acpi_ec_write_method	
acpi_ec_ecdt_probe	
EcSpaceHandler	
EcGpeQueryHandler	
EcCommand	
EcWaitEvent	
EcGpeHandler	
EcSpaceSetup	
db_show_hpet	
hpet_identify	
hpet_probe	
hpet_attach	
hpet_detach	
hpet_suspend	
hpet_resume	
hpet_remap_intr	
hpet_pci_probe	
hpet_get_timecount	
hpet_intr_single	
hpet_intr	
hpet_start	
hpet_stop	
hpet_find	
hpet_show_del	
hpet_show_add	
acpi_isab_probe	
acpi_isab_attach	
acpi_isab_read_ivar	
acpi_lid_probe	
acpi_lid_attach	
acpi_lid_suspend	
acpi_lid_resume	
acpi_lid_notify_handler	
acpi_lid_notify_status_changed	
acpi_PkgInt	
acpi_PkgInt32	
acpi_PkgStr	
acpi_PkgGas	
acpi_GetReference	
acpi_pci_probe	
acpi_pci_attach	
acpi_pci_read_ivar	
acpi_pci_write_ivar	
acpi_pci_child_location_str_method	
acpi_pci_set_powerstate_method	
acpi_pci_save_handle	
acpi_pci_link_add_reference	
acpi_pci_link_route_interrupt	
acpi_pci_link_route_irqs	
acpi_pci_link_identify	
acpi_pci_link_probe	
acpi_pci_link_attach	
acpi_pci_link_resume	
acpi_count_irq_resources	
link_add_crs	
link_add_prs	
acpi_pci_link_dump	
acpi_pcib_attach	
acpi_pcib_route_interrupt	
acpi_pcib_power_for_sleep	
acpi_pcib_acpi_probe	
acpi_pcib_acpi_attach	
acpi_pcib_read_ivar	
acpi_pcib_write_ivar	
acpi_pcib_acpi_alloc_resource	
acpi_pcib_acpi_adjust_resource	
acpi_pcib_read_config	
acpi_pcib_write_config	
acpi_pcib_acpi_route_interrupt	
acpi_pcib_alloc_msi	
acpi_pcib_alloc_msix	
acpi_pcib_map_msi	
acpi_pcib_producer_handler	
acpi_pcib_pci_probe	
acpi_pcib_pci_attach	
acpi_pcib_read_ivar_0	
acpi_pcib_pci_route_interrupt	
acpi_perf_identify	
acpi_perf_probe	
acpi_perf_attach	
acpi_perf_detach	
acpi_px_set	
acpi_px_get	
acpi_px_type	
acpi_px_settings	
acpi_px_startup	
acpi_px_notify	
acpi_pwr_switch_consumer	
acpi_pwr_reference_resource	
acpi_pwr_switch_power	
acpi_pwr_wake_enable	
acpi_pwr_init	
acpi_table_quirks	
acpi_lookup_irq_resource	
acpi_lookup_irq_handler	
acpi_config_intr	
acpi_parse_resources	
acpi_parse_resource	
acpi_res_set_init	
acpi_res_set_done	
acpi_res_set_ioport	
acpi_res_set_iorange	
acpi_res_set_memory	
acpi_res_set_memoryrange	
acpi_res_set_irq	
acpi_res_set_ext_irq	
acpi_res_set_drq	
acpi_res_set_start_dependent	
acpi_res_set_end_dependent	
acpi_sysres_probe	
acpi_sysres_attach	
acpi_smbat_probe	
acpi_smbat_attach	
acpi_smbat_shutdown	
acpi_smbat_get_bst	
acpi_smbat_get_bif	
acpi_smbus_read_2	
acpi_smbus_read_multi_1	
acpi_tz_probe	
acpi_tz_attach	
acpi_tz_establish	
acpi_tz_notify_handler	
acpi_tz_active_sysctl	
acpi_tz_cooling_sysctl	
acpi_tz_temp_sysctl	
acpi_tz_passive_sysctl	
acpi_tz_power_profile	
acpi_tz_thread	
acpi_tz_cooling_thread_start	
acpi_tz_cooling_thread	
acpi_tz_get_temperature	
acpi_tz_cpufreq_restore	
acpi_tz_monitor	
acpi_tz_switch_cooler_off	
acpi_tz_switch_cooler_on	
acpi_tz_signal	
acpi_throttle_identify	
acpi_throttle_probe	
acpi_throttle_attach	
acpi_thr_set	
acpi_thr_get	
acpi_thr_type	
acpi_thr_settings	
acpi_timer_identify	
acpi_timer_probe	
acpi_timer_attach	
acpi_timer_get_timecount_safe	
acpi_timer_sysctl_freq	
ahci_probe	
ahci_attach	
ahci_detach	
ahci_suspend	
ahci_resume	
ahci_print_child	
ahci_alloc_resource	
ahci_release_resource	
ahci_setup_intr	
ahci_teardown_intr	
ahci_child_location_str	
ahci_ata_probe	
ahci_ch_probe	
ahci_ch_attach	
ahci_ch_detach	
ahci_ch_suspend	
ahci_ch_resume	
ssb_SATA_phy_reg_read	
ssb_SATA_phy_reg_write	
sata_phy_delay_matched_calib	
aeolia_rtc_init	
ahci_ch_init	
ahci_reset	
ahci_stop	
ahci_end_transaction	
ahci_wait_ready	
ahci_clo	
ahci_reset_to	
ahci_start	
ahci_begin_transaction	
ahci_check_collision	
ahci_timeout	
ahci_dmasetprd	
ahci_execute_transaction	
ahci_ch_deinit	
ahci_dmafini	
ahci_ch_intr_locked	
ahciaction	
ahcipoll	
ahci_ch_pm	
ahci_ch_led	
ahci_ch_intr	
ahci_dmasetupc_cb	
ahci_ctlr_reset	
ahci_ctlr_setup	
ahci_intr_one	
ahci_intr	
ata_probe	
ata_attach	
ata_conn_event	
ata_str2mode	
ata_interrupt	
ata_periodic_poll	
ataaction	
atapoll	
ata_detach	
ata_reinit	
ata_cam_end_transaction	
ata_suspend	
ata_resume	
ata_print_cable	
ata_check_80pin	
ata_setmode	
ata_limit_mode	
ata_mode2str	
ata_device_ioctl	
ata_getparam	
ata_unit2str	
ata_pmode	
ata_wmode	
ata_umode	
ata_default_registers	
ata_modify_if_48bit	
ata_udelay	
ata_satarev2str	
ata_atapi	
ata_cam_begin_transaction	
ata_uninit	
ata_init	
ata_module_event_handler	
ata_dmainit	
ata_dmaalloc	
ata_dmafree	
ata_dmasetprd	
ata_dmaload	
ata_dmaunload	
ata_dmasetupc_cb	
ata_dmafini	
ata_generic_hw	
ata_begin_transaction	
ata_end_transaction	
ata_generic_status	
ata_generic_command	
ata_tf_read	
ata_tf_write	
ata_wait	
ata_pio_write	
ata_pio_read	
ata_generic_reset	
ata_queue_request	
ata_start	
ata_cmd2str	
ata_completed	
ata_controlcmd	
ata_atapicmd	
ata_finish	
ata_timeout	
ata_fail_requests	
ata_drop_requests	
ata_sata_phy_check_events	
ata_sata_scr_read	
ata_sata_scr_write	
ata_sata_phy_reset	
ata_sata_connect	
ata_sata_setmode	
ata_sata_getrev	
ata_request2fis_h2d	
ata_pm_identify	
ata_isa_probe	
ata_isa_attach	
ata_isa_detach	
ata_isa_suspend	
ata_isa_resume	
ata_pci_probe	
ata_pcivendor2str	
ata_generic_chipinit	
ata_pci_attach	
ata_legacy	
ata_pci_ch_attach	
ata_pci_ch_detach	
ata_pci_detach	
ata_pci_suspend	
ata_pci_resume	
ata_pci_read_ivar	
ata_pci_write_ivar	
ata_pci_read_config	
ata_pci_write_config	
ata_pci_alloc_resource	
ata_pci_release_resource	
ata_pci_setup_intr	
ata_pci_teardown_intr	
ata_generic_setmode	
ata_setup_interrupt	
ata_generic_intr	
ata_pci_dmainit	
ata_pci_hw	
ata_pci_dmafini	
ata_pci_status	
ata_pci_dmastart	
ata_pci_dmastop	
ata_pci_dmareset	
ata_pci_child_location_str	
ata_pcichannel_probe	
ata_pcichannel_attach	
ata_pcichannel_detach	
ata_pcichannel_suspend	
ata_pcichannel_resume	
ata_pcichannel_setmode	
ata_pcichannel_getrev	
ata_pcichannel_locking	
ata_pcichannel_reset	
ata_set_desc	
ata_match_chip	
ata_find_chip	
ata_mode2idx	
ata_ahci_chipinit	
ata_ahci_ctlr_reset	
ata_ahci_reset	
ata_ahci_ch_attach	
ata_ahci_ch_detach	
ata_ahci_ch_suspend	
ata_ahci_ch_resume	
ata_ahci_suspend	
ata_ahci_probe	
ata_ahci_ata_probe	
ata_ahci_ata_attach	
ata_ahci_softreset	
ata_ahci_stop	
ata_ahci_start	
ata_ahci_issue_cmd	
ata_ahci_wait_ready	
ata_ahci_status	
ata_ahci_begin_transaction	
ata_ahci_end_transaction	
ata_ahci_pm_read	
ata_ahci_pm_write	
ata_ahci_dmasetprd	
ata_acard_probe	
ata_acard_chipinit	
ata_acard_chipdeinit	
ata_acard_ch_attach	
ata_acard_850_setmode	
ata_serialize	
ata_acard_86X_setmode	
ata_acard_status	
ata_ali_probe	
ata_ali_chipinit	
ata_ali_chipdeinit	
ata_ali_sata_ch_attach	
ata_ali_ch_attach	
ata_ali_reset	
ata_ali_setmode	
ata_adaptec_probe	
ata_amd_probe	
ata_amd_chipinit	
ata_amd_ch_attach	
ata_amd_setmode	
ata_ati_probe	
ata_ati_chipinit	
ata_ati_ixp700_ch_attach	
ata_ati_setmode	
ata_cenatek_probe	
ata_cypress_probe	
ata_cypress_chipinit	
ata_cypress_setmode	
ata_cyrix_probe	
ata_cyrix_chipinit	
ata_cyrix_ch_attach	
ata_cyrix_setmode	
ata_highpoint_probe	
ata_highpoint_chipinit	
ata_highpoint_ch_attach	
ata_highpoint_setmode	
ata_intel_probe	
ata_intel_chipinit	
ata_intel_chipdeinit	
ata_intel_old_setmode	
ata_intel_31244_ch_attach	
ata_intel_31244_ch_detach	
ata_intel_31244_reset	
ata_intel_ch_attach	
ata_intel_sch_setmode	
ata_intel_new_setmode	
ata_intel_reset	
ata_intel_sata_getrev	
ata_intel_sata_status	
ata_intel_sata_cscr_read	
ata_intel_sata_cscr_write	
ata_intel_sata_ahci_read	
ata_intel_sata_ahci_write	
ata_intel_sata_sidpr_read	
ata_intel_sata_sidpr_write	
ata_intel_31244_status	
ata_intel_31244_tf_write	
ata_ite_probe	
ata_ite_chipinit	
ata_ite_8213_setmode	
ata_ite_821x_setmode	
ata_ite_ch_attach	
ata_jmicron_probe	
ata_jmicron_chipinit	
ata_jmicron_ch_attach	
ata_jmicron_setmode	
ata_marvell_edma_chipinit	
ata_marvell_edma_ch_attach	
ata_marvell_edma_ch_detach	
ata_marvell_edma_reset	
ata_marvell_probe	
ata_marvell_chipinit	
ata_marvell_dummy_chipinit	
ata_marvell_ch_attach	
ata_marvell_setmode	
ata_marvell_edma_begin_transaction	
ata_marvell_edma_end_transaction	
ata_marvell_edma_status	
ata_marvell_edma_dmasetprd	
ata_micron_probe	
ata_national_probe	
ata_national_chipinit	
ata_national_ch_attach	
ata_national_setmode	
ata_netcell_probe	
ata_netcell_chipinit	
ata_netcell_ch_attach	
ata_netcell_setmode	
ata_nvidia_probe	
ata_nvidia_chipinit	
ata_nvidia_ch_attach_dumb	
ata_nvidia_ch_attach	
ata_nvidia_reset	
ata_nvidia_setmode	
ata_nvidia_status	
ata_promise_probe	
ata_promise_chipinit	
ata_promise_ch_attach	
ata_promise_setmode	
ata_promise_tx2_ch_attach	
ata_promise_sx4_intr	
ata_promise_mio_ch_attach	
ata_promise_mio_ch_detach	
ata_promise_mio_reset	
ata_promise_mio_intr	
ata_promise_mio_setmode	
ata_promise_mio_getrev	
ata_promise_sx4_command	
ata_promise_mio_command	
ata_promise_mio_status	
ata_promise_mio_softreset	
ata_promise_mio_pm_read	
ata_promise_mio_pm_write	
ata_promise_apkt	
ata_promise_queue_hpkt	
ata_promise_mio_setprd	
ata_promise_next_hpkt	
ata_promise_tx2_status	
ata_promise_dmastart	
ata_promise_dmastop	
ata_promise_dmareset	
ata_promise_status	
ata_serverworks_probe	
ata_serverworks_chipinit	
ata_serverworks_ch_attach	
ata_serverworks_ch_detach	
ata_serverworks_sata_reset	
ata_serverworks_setmode	
ata_serverworks_tf_read	
ata_serverworks_tf_write	
ata_serverworks_status	
ata_sii_chipinit	
ata_siiprb_ch_attach	
ata_siiprb_ch_detach	
ata_siiprb_reset	
ata_sii_ch_attach	
ata_sii_ch_detach	
ata_sii_reset	
ata_sii_setmode	
ata_cmd_ch_attach	
ata_cmd_setmode	
ata_sii_probe	
ata_siiprb_softreset	
ata_siiprb_issue_cmd	
ata_siiprb_status	
ata_siiprb_begin_transaction	
ata_siiprb_end_transaction	
ata_siiprb_pm_read	
ata_siiprb_pm_write	
ata_siiprb_dmasetprd	
ata_sii_status	
ata_cmd_status	
ata_sis_probe	
ata_sis_chipinit	
ata_sis_ch_attach	
ata_sis_reset	
ata_sis_setmode	
ata_via_probe	
ata_via_chipinit	
ata_via_sata_ch_attach	
ata_via_sata_setmode	
ata_via_sata_getrev	
ata_via_sata_reset	
ata_via_ch_attach	
ata_via_ch_detach	
ata_via_reset	
ata_via_new_setmode	
ata_via_old_setmode	
ata_via_status	
ata_via_sata_status	
ata_via_sata_scr_read	
ata_via_sata_scr_write	
bge_probe	
bge_attach	
bge_detach	
bge_shutdown	
bge_suspend	
bge_resume	
bge_miibus_readreg	
bge_miibus_writereg	
bge_miibus_statchg	
bge_lookup_rev	
bge_lookup_vendor	
bge_init_locked	
bge_start_locked	
bge_stop	
bge_stop_fw	
bge_reset	
bge_chipinit	
bge_setmulti	
bge_ifmedia_upd_locked	
bge_tick	
bge_stats_update_regs	
bge_asf_driver_up	
bge_writemem_ind	
bge_newbuf_jumbo	
bge_newbuf_std	
bge_writemem_direct	
bge_writereg_ind	
bge_release_resources	
bge_intr_task	
bge_ioctl	
bge_start	
bge_init	
bge_read_eeprom	
bge_ifmedia_upd	
bge_ifmedia_sts	
bge_msi_intr	
bge_intr	
bge_link_upd	
bge_rxeof	
bge_txeof	
bge_sysctl_stats	
bge_dma_ring_alloc	
bge_dma_map_addr	
bge_get_eaddr_fw	
bge_get_eaddr_mem	
bge_get_eaddr_nvram	
bge_get_eaddr_eeprom	
bxe_probe	
bxe_attach	
bxe_detach	
bxe_shutdown	
bxe_write_dmae	
bxe_init_ind_wr	
bxe_read_dmae	
bxe_get_gpio	
bxe_set_gpio	
bxe_acquire_hw_lock	
bxe_set_gpio_int	
bxe_set_spio	
bxe_stop_locked	
bxe_set_storm_rx_mode	
bxe_txeof	
bxe_sp_post	
bxe_stop_multi	
bxe_stop_leading	
bxe_fw_command	
bxe_clear_rx_chains	
bxe_reset_port	
bxe_reset_func	
bxe_rxeof	
bxe_alloc_rx_bd_mbuf	
bxe_update_rx_prod	
m_getjcl	
bxe_alloc_tpa_mbuf	
bxe_panic_dump	
bxe_alloc_rx_sge_mbuf	
bxe_idle_chk	
bxe_idle_chk6	
bxe_stats_pmf_update	
bxe_stats_start	
bxe_stats_do_nothing	
bxe_stats_pmf_start	
bxe_stats_restart	
bxe_stats_update	
bxe_stats_stop	
bxe_stats_hw_update	
bxe_stats_storm_update	
bxe_stats_net_update	
bxe_stats_hw_post	
bxe_stats_func_init	
bxe_dma_free	
bxe_ifmedia_upd	
bxe_ifmedia_status	
bxe_ioctl	
bxe_tx_start	
bxe_tx_mq_start	
bxe_mq_flush	
bxe_init	
bxe_intr_sp	
bxe_intr_fp	
bxe_intr_legacy	
bxe_task_fp	
bxe_task_sp	
bxe__link_status_update	
bxe_read_mf_cfg	
bxe_link_report	
bxe_congestionmgmt	
bxe_init_locked	
bxe_init_hw	
bxe_set_rx_mode	
bxe_tick	
calc_crc8	
bxe_write_big_buf	
bxe_init_block	
drbr_enqueue	
bxe_tx_encap	
bxe_dma_malloc	
bxe_dma_map_addr	
bxe_nvram_read	
bxe_nvram_read_dword	
bxe_link_status_update	
bxe_cl45_write	
bxe_cl45_read	
bxe_direct_parallel_detect_used	
bxe_ext_phy_hw_reset	
bxe_read_sfp_module_eeprom	
bxe_handle_module_detect_int	
bxe_sfp_module_detection	
bxe_get_ext_phy_fw_version	
bxe_override_led_value	
bxe_set_led	
bxe_test_link	
bxe_ext_phy_is_link_up	
bxe_phy_init	
bxe_phy_deassert	
bxe_bmac_enable	
bxe_emac_enable	
bxe_link_initialize	
bxe_link_reset	
bxe_bmac_rx_disable	
bxe_link_update	
bxe_init_internal_phy	
bxe_common_init_phy	
bxe_sfx7101_sp_sw_reset	
bxe_bcm8073_bcm8727_external_rom_boot	
bxe_save_bcm_spirom_ver	
bxe_set_autoneg	
bxe_ext_phy_set_pause	
bxe_bcm8073_set_xaui_low_power_mode	
bxe_set_serdes_access	
ichss_identify	
ichss_probe	
ichss_attach	
ichss_detach	
ichss_set	
ichss_get	
ichss_type	
ichss_settings	
em_probe	
em_attach	
em_detach	
em_shutdown	
em_suspend	
em_resume	
em_init_locked	
em_init_manageability	
em_start	
em_start_locked	
em_txeof	
em_reset	
em_update_link_status	
em_set_multi	
em_stop	
em_set_promisc	
em_local_timer	
em_get_hw_control	
em_update_stats_counters	
m_getjcl_0	
em_release_manageability	
em_release_hw_control	
em_free_pci_resources	
em_free_transmit_structures	
em_free_receive_structures	
em_dma_free	
em_sysctl_nvm_info	
em_sysctl_debug_info	
em_register_vlan	
em_unregister_vlan	
em_led_func	
em_sysctl_reg_handler	
em_init	
em_ioctl	
em_media_change	
em_media_status	
em_handle_que	
em_handle_link	
em_irq_fast	
em_rxeof	
em_refresh_mbufs	
em_msix_rx	
em_handle_rx	
em_msix_tx	
em_handle_tx	
em_msix_link	
em_dma_malloc	
em_dmamap_cb	
em_sysctl_int_delay	
lem_probe	
lem_attach	
lem_detach	
lem_shutdown	
lem_suspend	
lem_resume	
lem_init_locked	
lem_init_manageability	
lem_start	
lem_start_locked	
lem_txeof	
lem_82547_move_tail	
lem_stop	
lem_hardware_init	
lem_update_link_status	
lem_set_multi	
lem_initialize_receive_unit	
lem_set_promisc	
lem_local_timer	
lem_enable_intr	
lem_get_hw_control	
lem_update_stats_counters	
lem_get_buf	
lem_disable_intr	
lem_release_manageability	
lem_release_hw_control	
lem_free_pci_resources	
lem_free_transmit_structures	
lem_free_receive_structures	
lem_dma_free	
lem_sysctl_nvm_info	
lem_dma_malloc	
lem_register_vlan	
lem_unregister_vlan	
lem_led_func	
lem_sysctl_reg_handler	
lem_init	
lem_ioctl	
lem_media_change	
lem_media_status	
lem_handle_rxtx	
lem_handle_link	
lem_irq_fast	
lem_dmamap_cb	
lem_sysctl_int_delay	
e1000_init_function_pointers_80003es2lan	
e1000_init_mac_params_80003es2lan	
e1000_init_nvm_params_80003es2lan	
e1000_init_phy_params_80003es2lan	
e1000_power_down_phy_copper_80003es2lan	
e1000_acquire_phy_80003es2lan	
e1000_get_cfg_done_80003es2lan	
e1000_release_phy_80003es2lan	
e1000_phy_force_speed_duplex_80003es2lan	
e1000_get_cable_length_80003es2lan	
e1000_read_phy_reg_gg82563_80003es2lan	
e1000_write_phy_reg_gg82563_80003es2lan	
e1000_cfg_on_link_up_80003es2lan	
e1000_write_kmrn_reg_80003es2lan	
e1000_release_swfw_sync_80003es2lan	
e1000_acquire_swfw_sync_80003es2lan	
e1000_acquire_nvm_80003es2lan	
e1000_release_nvm_80003es2lan	
e1000_write_nvm_80003es2lan	
e1000_setup_copper_link_80003es2lan	
e1000_reset_hw_80003es2lan	
e1000_init_hw_80003es2lan	
e1000_read_mac_addr_80003es2lan	
e1000_clear_hw_cntrs_80003es2lan	
e1000_get_link_up_info_80003es2lan	
e1000_read_kmrn_reg_80003es2lan	
e1000_init_function_pointers_82540	
e1000_init_mac_params_82540	
e1000_init_nvm_params_82540	
e1000_init_phy_params_82540	
e1000_power_down_phy_copper_82540	
e1000_reset_hw_82540	
e1000_init_hw_82540	
e1000_setup_copper_link_82540	
e1000_setup_fiber_serdes_link_82540	
e1000_read_mac_addr_82540	
e1000_clear_hw_cntrs_82540	
e1000_init_function_pointers_82541	
e1000_init_mac_params_82541	
e1000_init_nvm_params_82541	
e1000_init_phy_params_82541	
e1000_init_script_state_82541	
e1000_get_cable_length_igp_82541	
e1000_phy_hw_reset_82541	
e1000_set_d3_lplu_state_82541	
e1000_power_down_phy_copper_82541	
e1000_phy_init_script_82541	
e1000_reset_hw_82541	
e1000_init_hw_82541	
e1000_setup_copper_link_82541	
e1000_check_for_link_82541	
e1000_get_link_up_info_82541	
e1000_read_mac_addr_82541	
e1000_setup_led_82541	
e1000_cleanup_led_82541	
e1000_clear_hw_cntrs_82541	
e1000_config_dsp_after_link_change_82541	
e1000_init_function_pointers_82542	
e1000_init_mac_params_82542	
e1000_init_nvm_params_82542	
e1000_init_phy_params_82542	
e1000_translate_register_82542	
e1000_get_bus_info_82542	
e1000_reset_hw_82542	
e1000_init_hw_82542	
e1000_setup_link_82542	
e1000_read_mac_addr_82542	
e1000_rar_set_82542	
e1000_led_on_82542	
e1000_led_off_82542	
e1000_clear_hw_cntrs_82542	
e1000_init_function_pointers_82543	
e1000_init_mac_params_82543	
e1000_init_nvm_params_82543	
e1000_init_phy_params_82543	
e1000_set_tbi_compatibility_82543	
e1000_tbi_sbp_enabled_82543	
e1000_tbi_adjust_stats_82543	
e1000_phy_force_speed_duplex_82543	
e1000_read_phy_reg_82543	
e1000_phy_hw_reset_82543	
e1000_write_phy_reg_82543	
e1000_shift_out_mdi_bits_82543	
e1000_raise_mdi_clk_82543	
e1000_lower_mdi_clk_82543	
e1000_polarity_reversal_workaround_82543	
e1000_reset_hw_82543	
e1000_init_hw_82543	
e1000_setup_link_82543	
e1000_setup_copper_link_82543	
e1000_setup_fiber_link_82543	
e1000_check_for_copper_link_82543	
e1000_check_for_fiber_link_82543	
e1000_write_vfta_82543	
e1000_read_mac_addr_82543	
e1000_led_on_82543	
e1000_led_off_82543	
e1000_clear_hw_cntrs_82543	
e1000_config_mac_to_phy_82543	
e1000_init_function_pointers_82571	
e1000_init_mac_params_82571	
e1000_init_nvm_params_82571	
e1000_init_phy_params_82571	
e1000_check_phy_82574	
e1000_get_laa_state_82571	
e1000_set_laa_state_82571	
e1000_set_d0_lplu_state_82571	
e1000_power_down_phy_copper_82571	
e1000_get_cfg_done_82571	
e1000_get_hw_semaphore_82571	
e1000_put_hw_semaphore_82571	
e1000_get_hw_semaphore_82574	
e1000_put_hw_semaphore_82574	
e1000_set_d0_lplu_state_82574	
e1000_set_d3_lplu_state_82574	
e1000_put_hw_semaphore_82573	
e1000_get_hw_semaphore_82573	
e1000_acquire_nvm_82571	
e1000_release_nvm_82571	
e1000_update_nvm_checksum_82571	
e1000_validate_nvm_checksum_82571	
e1000_valid_led_default_82571	
e1000_write_nvm_82571	
e1000_setup_fiber_serdes_link_82571	
e1000_check_for_serdes_link_82571	
e1000_setup_copper_link_82571	
e1000_reset_hw_82571	
e1000_init_hw_82571	
e1000_setup_link_82571	
e1000_clear_vfta_82571	
e1000_read_mac_addr_82571	
e1000_clear_hw_cntrs_82571	
e1000_check_mng_mode_82574	
e1000_led_on_82574	
e1000_init_nvm_params_82575	
e1000_acquire_nvm_82575	
e1000_release_nvm_82575	
e1000_valid_led_default_82575	
e1000_validate_nvm_checksum_82580	
e1000_update_nvm_checksum_82580	
e1000_validate_nvm_checksum_i350	
e1000_update_nvm_checksum_i350	
e1000_init_function_pointers_82575	
e1000_init_mac_params_82575	
e1000_init_phy_params_82575	
e1000_rx_fifo_flush_82575	
e1000_vmdq_set_anti_spoofing_pf	
e1000_vmdq_set_loopback_pf	
e1000_vmdq_set_replication_pf	
e1000_rxpbs_adjust_82580	
e1000_set_eee_i350	
e1000_release_swfw_sync_82575	
e1000_acquire_swfw_sync_82575	
e1000_power_down_phy_copper_82575	
e1000_acquire_phy_82575	
e1000_get_cfg_done_82575	
e1000_release_phy_82575	
e1000_phy_hw_reset_sgmii_82575	
e1000_reset_mdicnfg_82580	
e1000_sgmii_uses_mdio_82575	
e1000_read_phy_reg_sgmii_82575	
e1000_write_phy_reg_sgmii_82575	
e1000_read_phy_reg_82580	
e1000_write_phy_reg_82580	
e1000_set_d0_lplu_state_82575	
e1000_set_d0_lplu_state_82580	
e1000_set_d3_lplu_state_82580	
e1000_reset_hw_82580	
e1000_reset_hw_82575	
e1000_init_hw_82575	
e1000_setup_copper_link_82575	
e1000_setup_serdes_link_82575	
e1000_shutdown_serdes_link_82575	
e1000_power_up_serdes_link_82575	
e1000_check_for_link_82575	
e1000_read_mac_addr_82575	
e1000_config_collision_dist_82575	
e1000_clear_hw_cntrs_82575	
e1000_get_link_up_info_82575	
e1000_get_pcs_speed_and_duplex_82575	
e1000_reset_init_script_82575	
e1000_init_function_pointers_ich8lan	
e1000_init_mac_params_ich8lan	
e1000_init_nvm_params_ich8lan	
e1000_init_phy_params_ich8lan	
e1000_init_phy_params_pchlan	
e1000_configure_k1_ich8lan	
e1000_oem_bits_config_ich8lan	
e1000_hv_phy_powerdown_workaround_ich8lan	
e1000_copy_rx_addrs_to_phy_ich8lan	
e1000_lv_jumbo_workaround_ich8lan	
e1000_set_kmrn_lock_loss_workaround_ich8lan	
e1000_igp3_phy_powerdown_workaround_ich8lan	
e1000_gig_downshift_workaround_ich8lan	
e1000_disable_gig_wol_ich8lan	
e1000_write_smbus_addr	
e1000_acquire_swflag_ich8lan	
e1000_check_reset_block_ich8lan	
e1000_get_cfg_done_ich8lan	
e1000_release_swflag_ich8lan	
e1000_phy_hw_reset_ich8lan	
e1000_set_lplu_state_pchlan	
e1000_power_down_phy_copper_ich8lan	
e1000_post_phy_reset_ich8lan	
e1000_k1_gig_workaround_hv	
e1000_valid_nvm_bank_detect_ich8lan	
e1000_read_flash_data_ich8lan	
e1000_flash_cycle_init_ich8lan	
e1000_flash_cycle_ich8lan	
e1000_set_d0_lplu_state_ich8lan	
e1000_set_d3_lplu_state_ich8lan	
e1000_acquire_nvm_ich8lan	
e1000_release_nvm_ich8lan	
e1000_read_nvm_ich8lan	
e1000_update_nvm_checksum_ich8lan	
e1000_valid_led_default_ich8lan	
e1000_validate_nvm_checksum_ich8lan	
e1000_write_nvm_ich8lan	
e1000_erase_flash_bank_ich8lan	
e1000_write_flash_byte_ich8lan	
e1000_get_bus_info_ich8lan	
e1000_reset_hw_ich8lan	
e1000_init_hw_ich8lan	
e1000_setup_link_ich8lan	
e1000_setup_copper_link_ich8lan	
e1000_check_for_copper_link_ich8lan	
e1000_get_link_up_info_ich8lan	
e1000_clear_hw_cntrs_ich8lan	
e1000_check_mng_mode_ich8lan	
e1000_cleanup_led_ich8lan	
e1000_led_on_ich8lan	
e1000_led_off_ich8lan	
e1000_rar_set_pch2lan	
e1000_update_mc_addr_list_pch2lan	
e1000_check_mng_mode_pchlan	
e1000_id_led_init_pchlan	
e1000_setup_led_pchlan	
e1000_cleanup_led_pchlan	
e1000_led_on_pchlan	
e1000_led_off_pchlan	
e1000_init_mac_params	
e1000_init_nvm_params	
e1000_init_phy_params	
e1000_init_mbx_params	
e1000_set_mac_type	
e1000_setup_init_funcs	
e1000_get_bus_info	
e1000_clear_vfta	
e1000_write_vfta	
e1000_update_mc_addr_list	
e1000_force_mac_fc	
e1000_check_for_link	
e1000_check_mng_mode	
e1000_mng_write_dhcp_info	
e1000_reset_hw	
e1000_init_hw	
e1000_setup_link	
e1000_get_speed_and_duplex	
e1000_setup_led	
e1000_cleanup_led	
e1000_blink_led	
e1000_id_led_init	
e1000_led_on	
e1000_led_off	
e1000_reset_adaptive	
e1000_update_adaptive	
e1000_disable_pcie_master	
e1000_config_collision_dist	
e1000_rar_set	
e1000_validate_mdi_setting	
e1000_hash_mc_addr	
e1000_enable_tx_pkt_filtering	
e1000_mng_host_if_write	
e1000_mng_write_cmd_header	
e1000_mng_enable_host_if	
e1000_wait_autoneg	
e1000_check_reset_block	
e1000_read_phy_reg	
e1000_write_phy_reg	
e1000_release_phy	
e1000_acquire_phy	
e1000_cfg_on_link_up	
e1000_read_kmrn_reg	
e1000_write_kmrn_reg	
e1000_get_cable_length	
e1000_get_phy_info	
e1000_phy_hw_reset	
e1000_phy_commit	
e1000_set_d0_lplu_state	
e1000_set_d3_lplu_state	
e1000_read_mac_addr	
e1000_read_pba_string	
e1000_read_pba_length	
e1000_validate_nvm_checksum	
e1000_update_nvm_checksum	
e1000_reload_nvm	
e1000_read_nvm	
e1000_write_nvm	
e1000_write_8bit_ctrl_reg	
e1000_power_up_phy	
e1000_power_down_phy	
e1000_power_up_fiber_serdes_link	
e1000_shutdown_fiber_serdes_link	
e1000_init_mac_ops_generic	
e1000_null_ops_generic	
e1000_set_lan_id_multi_port_pcie	
e1000_config_collision_dist_generic	
e1000_null_mac_generic	
e1000_null_link_info	
e1000_null_mng_mode	
e1000_null_update_mc	
e1000_null_write_vfta	
e1000_rar_set_generic	
e1000_validate_mdi_setting_generic	
e1000_null_rar_set	
e1000_get_bus_info_pci_generic	
e1000_get_bus_info_pcie_generic	
e1000_set_lan_id_multi_port_pci	
e1000_set_lan_id_single_port	
e1000_clear_vfta_generic	
e1000_write_vfta_generic	
e1000_init_rx_addrs_generic	
e1000_check_alt_mac_addr_generic	
e1000_update_mc_addr_list_generic	
e1000_hash_mc_addr_generic	
e1000_pcix_mmrbc_workaround_generic	
e1000_clear_hw_cntrs_base_generic	
e1000_check_for_copper_link_generic	
e1000_config_fc_after_link_up_generic	
e1000_check_for_fiber_link_generic	
e1000_check_for_serdes_link_generic	
e1000_setup_link_generic	
e1000_set_default_fc_generic	
e1000_set_fc_watermarks_generic	
e1000_setup_fiber_serdes_link_generic	
e1000_commit_fc_settings_generic	
e1000_poll_fiber_serdes_link_generic	
e1000_force_mac_fc_generic	
e1000_get_speed_and_duplex_copper_generic	
e1000_get_speed_and_duplex_fiber_serdes_generic	
e1000_get_hw_semaphore_generic	
e1000_put_hw_semaphore_generic	
e1000_get_auto_rd_done_generic	
e1000_valid_led_default_generic	
e1000_id_led_init_generic	
e1000_setup_led_generic	
e1000_cleanup_led_generic	
e1000_blink_led_generic	
e1000_led_on_generic	
e1000_led_off_generic	
e1000_set_pcie_no_snoop_generic	
e1000_disable_pcie_master_generic	
e1000_reset_adaptive_generic	
e1000_update_adaptive_generic	
e1000_write_8bit_ctrl_reg_generic	
e1000_calculate_checksum	
e1000_mng_enable_host_if_generic	
e1000_check_mng_mode_generic	
e1000_enable_tx_pkt_filtering_generic	
e1000_mng_write_dhcp_info_generic	
e1000_mng_write_cmd_header_generic	
e1000_mng_host_if_write_generic	
e1000_enable_mng_pass_thru	
e1000_host_interface_command	
e1000_init_nvm_ops_generic	
e1000_null_read_nvm	
e1000_null_nvm_generic	
e1000_reload_nvm_generic	
e1000_null_led_default	
e1000_null_write_nvm	
e1000_poll_eerd_eewr_done	
e1000_acquire_nvm_generic	
e1000_stop_nvm	
e1000_lower_eec_clk	
e1000_raise_eec_clk	
e1000_release_nvm_generic	
e1000_read_nvm_spi	
e1000_ready_nvm_eeprom	
e1000_standby_nvm	
e1000_shift_out_eec_bits	
e1000_shift_in_eec_bits	
e1000_read_nvm_microwire	
e1000_read_nvm_eerd	
e1000_write_nvm_spi	
e1000_write_nvm_microwire	
e1000_read_pba_string_generic	
e1000_read_pba_length_generic	
e1000_read_mac_addr_generic	
e1000_validate_nvm_checksum_generic	
e1000_update_nvm_checksum_generic	
e1000_init_phy_ops_generic	
e1000_null_read_reg	
e1000_null_phy_generic	
e1000_null_lplu_state	
e1000_null_write_reg	
e1000_check_reset_block_generic	
e1000_get_phy_id	
e1000_phy_reset_dsp_generic	
e1000_read_phy_reg_mdic	
e1000_write_phy_reg_mdic	
e1000_read_phy_reg_i2c	
e1000_write_phy_reg_i2c	
e1000_read_phy_reg_m88	
e1000_write_phy_reg_m88	
e1000_read_phy_reg_igp	
e1000_read_phy_reg_igp_locked	
e1000_write_phy_reg_igp	
e1000_write_phy_reg_igp_locked	
e1000_read_kmrn_reg_generic	
__e1000_read_kmrn_reg	
e1000_read_kmrn_reg_locked	
e1000_write_kmrn_reg_generic	
e1000_write_kmrn_reg_locked	
e1000_copper_link_setup_82577	
e1000_copper_link_setup_m88	
e1000_copper_link_setup_m88_gen2	
e1000_copper_link_setup_igp	
e1000_copper_link_autoneg	
e1000_phy_setup_autoneg	
e1000_setup_copper_link_generic	
e1000_phy_has_link_generic	
e1000_phy_force_speed_duplex_igp	
e1000_phy_force_speed_duplex_setup	
e1000_phy_force_speed_duplex_m88	
e1000_phy_force_speed_duplex_ife	
e1000_set_d3_lplu_state_generic	
e1000_check_downshift_generic	
e1000_check_polarity_m88	
e1000_check_polarity_igp	
e1000_check_polarity_ife	
e1000_wait_autoneg_generic	
e1000_get_cable_length_m88	
e1000_get_cable_length_m88_gen2	
e1000_get_cable_length_igp_2	
e1000_get_phy_info_m88	
e1000_get_phy_info_igp	
e1000_get_phy_info_ife	
e1000_phy_sw_reset_generic	
e1000_phy_hw_reset_generic	
e1000_get_cfg_done_generic	
e1000_phy_init_script_igp3	
e1000_get_phy_type_from_id	
e1000_determine_phy_address	
e1000_write_phy_reg_bm	
e1000_access_phy_wakeup_reg_bm	
e1000_read_phy_reg_bm	
e1000_read_phy_reg_bm2	
e1000_write_phy_reg_bm2	
e1000_power_up_phy_copper	
e1000_power_down_phy_copper	
e1000_read_phy_reg_hv	
__e1000_read_phy_reg_hv	
e1000_read_phy_reg_hv_locked	
e1000_write_phy_reg_hv	
__e1000_write_phy_reg_hv	
e1000_write_phy_reg_hv_locked	
e1000_link_stall_workaround_hv	
e1000_check_polarity_82577	
e1000_phy_force_speed_duplex_82577	
e1000_get_phy_info_82577	
e1000_get_cable_length_82577	
e1000_init_function_pointers_vf	
e1000_init_mac_params_vf	
e1000_init_nvm_params_vf	
e1000_init_phy_params_vf	
e1000_vfta_set_vf	
e1000_rlpml_set_vf	
e1000_promisc_set_vf	
e1000_acquire_vf	
e1000_release_vf	
e1000_setup_link_vf	
e1000_get_bus_info_pcie_vf	
e1000_reset_hw_vf	
e1000_init_hw_vf	
e1000_check_for_link_vf	
e1000_get_link_up_info_vf	
e1000_update_mc_addr_list_vf	
e1000_rar_set_vf	
e1000_read_mac_addr_vf	
e1000_read_mbx	
e1000_write_mbx	
e1000_check_for_msg	
e1000_check_for_ack	
e1000_check_for_rst	
e1000_read_posted_mbx	
e1000_write_posted_mbx	
e1000_init_mbx_ops_generic	
e1000_null_mbx_transact	
e1000_null_mbx_check_for_flag	
e1000_init_mbx_params_vf	
e1000_read_mbx_vf	
e1000_write_mbx_vf	
e1000_check_for_msg_vf	
e1000_check_for_ack_vf	
e1000_check_for_rst_vf	
e1000_init_mbx_params_pf	
e1000_read_mbx_pf	
e1000_write_mbx_pf	
e1000_check_for_msg_pf	
e1000_check_for_ack_pf	
e1000_check_for_rst_pf	
e1000_check_for_bit_pf	
e1000_obtain_mbx_lock_pf	
e1000_write_pci_cfg	
e1000_read_pci_cfg	
e1000_pci_set_mwi	
e1000_pci_clear_mwi	
e1000_read_pcie_cap_reg	
e1000_write_pcie_cap_reg	
kbdmux_modevent	
kbdmux_configure	
kbdmux_probe	
kbdmux_init	
kbdmux_term	
kbdmux_intr	
kbdmux_test_if	
kbdmux_enable	
kbdmux_disable	
kbdmux_read	
kbdmux_check	
kbdmux_read_char	
kbdmux_check_char	
kbdmux_ioctl	
kbdmux_lock	
kbdmux_clear_state	
kbdmux_get_state	
kbdmux_set_state	
kbdmux_poll	
kbdmux_kbd_event	
kbdmux_kbd_intr	
kbdmux_kbd_intr_timo	
led_set	
led_parse	
led_write	
led_create	
led_create_state	
led_timeout	
led_destroy	
led_drvinit	
mdctlioctl	
g_md_init	
g_md_fini	
g_md_start	
g_md_dumpconf	
g_md_access	
md_preloaded	
mdnew	
mdstart_preload	
md_kthread	
mdstart_malloc	
mdstart_vnode	
mdstart_swap	
mddestroy	
destroy_indir	
mdsetcred	
s_write	
memopen	
mem_modevent	
mem_range_init	
mem_range_destroy	
mem_range_attr_get	
mem_range_attr_set	
acphy_probe	
acphy_attach	
acphy_service	
acphy_status	
acphy_reset	
amphy_probe	
amphy_attach	
amphy_service	
amphy_status	
atphy_probe	
atphy_attach	
atphy_service	
atphy_status	
atphy_reset	
atphy_setmedia	
axphy_probe	
axphy_attach	
axphy_service	
axphy_status	
bmtphy_probe	
bmtphy_attach	
bmtphy_service	
bmtphy_status	
bmtphy_reset	
brgphy_probe	
brgphy_attach	
brgphy_service	
brgphy_status	
brgphy_reset	
bcm5411_load_dspcode	
bcm54k2_load_dspcode	
brgphy_jumbo_settings	
brgphy_ethernet_wirespeed	
brgphy_mii_phy_auto	
ciphy_probe	
ciphy_attach	
ciphy_service	
ciphy_status	
ciphy_reset	
ciphy_fixup	
e1000phy_probe	
e1000phy_attach	
e1000phy_service	
e1000phy_status	
e1000phy_reset	
e1000phy_mii_phy_auto	
gentbi_probe	
gentbi_attach	
gentbi_service	
gentbi_status	
icsphy_probe	
icsphy_attach	
icsphy_service	
icsphy_status	
icsphy_reset	
ip1000phy_probe	
ip1000phy_attach	
ip1000phy_service	
ip1000phy_status	
ip1000phy_reset	
ip1000phy_mii_phy_auto	
jmphy_probe	
jmphy_attach	
jmphy_service	
jmphy_status	
jmphy_reset	
jmphy_setmedia	
lxtphy_probe	
lxtphy_attach	
lxtphy_service	
lxtphy_status	
lxtphy_reset	
miibus_probe	
miibus_attach	
miibus_detach	
miibus_print_child	
miibus_read_ivar	
miibus_child_pnpinfo_str	
miibus_child_location_str	
miibus_readreg	
miibus_writereg	
miibus_statchg	
miibus_linkchg	
miibus_mediainit	
mii_attach	
mii_mediachg	
mii_tick	
mii_pollstat	
mii_down	
mii_oui	
mii_bitbang_sync	
mii_bitbang_readreg	
mii_bitbang_sendbits	
mii_bitbang_writereg	
mii_phy_setmedia	
mii_phy_auto	
mii_phy_tick	
mii_phy_reset	
mii_phy_down	
mii_phy_update	
mii_phy_add_media	
mii_phy_detach	
mii_phy_match_gen	
mii_phy_match	
mii_phy_dev_probe	
mii_phy_dev_attach	
mii_phy_flowstatus	
mlphy_probe	
mlphy_attach	
mlphy_service	
mlphy_status	
mlphy_reset	
nsgphy_probe	
nsgphy_attach	
nsgphy_service	
nsgphy_status	
nsphy_probe	
nsphy_attach	
nsphy_service	
nsphy_status	
nsphy_reset	
nsphyter_probe	
nsphyter_attach	
nsphyter_service	
nsphyter_status	
nsphyter_reset	
pnaphy_probe	
pnaphy_attach	
pnaphy_service	
qsphy_probe	
qsphy_attach	
qsphy_service	
qsphy_status	
qsphy_reset	
rdcphy_probe	
rdcphy_attach	
rdcphy_service	
rdcphy_status	
rgephy_probe	
rgephy_attach	
rgephy_service	
rgephy_status	
rgephy_reset	
rgephy_load_dspcode	
rgephy_mii_phy_auto	
rgephy_loop	
rlphy_probe	
rlphy_attach	
rlphy_service	
rlphy_status	
smcphy_probe	
smcphy_attach	
smcphy_service	
smcphy_reset	
smcphy_auto	
tdkphy_probe	
tdkphy_attach	
tdkphy_service	
tdkphy_status	
tlphy_probe	
tlphy_attach	
tlphy_service	
tlphy_status	
tlphy_acomp	
truephy_probe	
truephy_attach	
truephy_service	
truephy_status	
truephy_reset	
ukphy_probe	
ukphy_attach	
ukphy_service	
ukphy_status	
xmphy_probe	
xmphy_attach	
xmphy_service	
xmphy_status	
xmphy_mii_phy_auto	
mmc_probe	
mmc_attach	
mmc_detach	
mmc_suspend	
mmc_resume	
mmc_read_ivar	
mmc_write_ivar	
mmc_child_location_str	
mmc_wait_for_request	
mmc_acquire_bus	
mmc_release_bus	
mmc_wait_for_req	
mmc_wakeup	
mmc_scan	
mmc_go_discovery	
mmc_power_up	
mmc_idle_cards	
mmc_send_app_op_cond	
mmc_send_op_cond	
mmc_delete_cards	
mmc_max_timing	
mmc_log_card	
mmc_send_op_cond_cmd5	
mmc_delayed_attach	
mmcsd_probe	
mmcsd_attach	
mmcsd_detach	
mmcsd_suspend	
mmcsd_resume	
mmcsd_task	
mmcsd_rw	
mmcsd_open	
mmcsd_close	
mmcsd_strategy	
mmcsd_dump	
mskc_probe	
mskc_attach	
mskc_detach	
mskc_suspend	
mskc_resume	
mskc_shutdown	
msk_probe	
msk_attach	
msk_detach	
msk_miibus_readreg	
msk_miibus_writereg	
msk_miibus_statchg	
mskc_reset	
msk_init_locked	
msk_stop	
msk_rxfilter	
msk_set_rx_pfu	
switch_update_link_mode	
msk_tick	
msk_handle_events	
msk_start_locked	
msk_newbuf	
msk_rxcsum	
msk_jumbo_newbuf	
switch_get_port_link_mode	
msk_smi_read	
msk_smi_write	
msk_rx_fill	
msk_phy_power	
msk_rx_dma_jfree	
sysctl_hw_msk_proc_limit	
msk_intr	
switch_config	
switch_port_disable	
switch_port_enable	
msk_dmamap_cb	
msk_ioctl	
msk_start	
msk_init	
switch_init	
gbe_dev_open	
gbe_dev_close	
gbe_dev_read	
gbe_dev_write	
gbe_dev_ioctl	
gbe_dev_poll	
msk_mediachange	
msk_mediastatus	
msk_proc_ctrl	
switch_set_port_link_mode	
msk_sysctl_stat32	
msk_sysctl_stat64	
null_write	
null_ioctl	
zero_read	
null_modevent	
fixup_pci_probe	
pci_hostb_probe	
pci_hostb_attach	
pci_hostb_read_ivar	
pci_hostb_write_ivar	
pci_hostb_alloc_resource	
pci_hostb_release_resource	
pci_hostb_read_config	
pci_hostb_write_config	
pci_hostb_enable_busmaster	
pci_hostb_disable_busmaster	
pci_hostb_enable_io	
pci_hostb_disable_io	
pci_hostb_get_powerstate	
pci_hostb_set_powerstate	
pci_hostb_assign_interrupt	
pci_hostb_find_extcap	
ignore_pci_probe	
isab_pci_probe	
isab_pci_attach	
isab_pci_alloc_resource	
isab_pci_release_resource	
pci_probe	
pci_attach	
pci_suspend	
pci_resume	
pci_print_child	
pci_probe_nomatch	
pci_read_ivar	
pci_write_ivar	
pci_driver_added	
pci_setup_intr	
pci_teardown_intr	
pci_get_resource_list	
pci_delete_resource	
pci_alloc_resource	
pci_activate_resource	
pci_deactivate_resource	
pci_child_pnpinfo_str_method	
pci_child_location_str_method	
pci_remap_intr_method	
pci_read_config_method	
pci_write_config_method	
pci_enable_busmaster_method	
pci_disable_busmaster_method	
pci_enable_io_method	
pci_disable_io_method	
pci_get_vpd_ident_method	
pci_get_vpd_readonly_method	
pci_get_powerstate_method	
pci_set_powerstate_method	
pci_assign_interrupt_method	
pci_find_extcap_method	
pci_alloc_msi_method	
pci_alloc_msix_method	
pci_remap_msix_method	
pci_release_msi_method	
pci_msi_count_method	
pci_msix_count_method	
pci_find_bsf	
pci_find_dbsf	
pci_find_device	
pci_find_class	
pci_read_device	
pci_read_vpd	
pci_pending_msix	
pci_msi_blacklisted	
pci_ht_map_msi	
pci_get_max_read_req	
pci_set_max_read_req	
pci_msi_device_blacklisted	
pci_freecfg	
pci_printf	
pci_print_verbose	
pci_find_bar	
pci_bar_enabled	
pci_add_resources	
pci_add_map	
pci_assign_interrupt	
pci_add_children	
pci_add_child	
pci_cfg_save	
pci_cfg_restore	
pci_set_power_children	
pci_enable_msi	
pci_enable_msix	
pci_disable_msi	
db_pci_dump	
pci_write_bar	
pci_delete_child	
pci_read_bar	
pciregs_show_del	
pciregs_show_add	
pci_describe_parse_line	
vpd_nextbyte	
pci_modevent	
pcib_probe	
pcib_attach	
pcib_suspend	
pcib_resume	
pcib_read_ivar	
pcib_write_ivar	
pcib_alloc_resource	
pcib_adjust_resource	
pcib_release_resource	
pcib_maxslots	
pcib_read_config	
pcib_write_config	
pcib_route_interrupt	
pcib_alloc_msi	
pcib_release_msi	
pcib_alloc_msix	
pcib_release_msix	
pcib_map_msi	
pcib_power_for_sleep	
pcib_attach_common	
pcib_suballoc_resource	
pcib_grow_window	
pcib_write_windows	
pcib_alloc_window	
host_pcib_get_busno	
pcib_child_name	
pcib_host_res_init	
pcib_host_res_free	
pcib_host_res_decodes	
pcib_host_res_alloc	
pcib_host_res_adjust	
pci_open	
pci_close	
pci_ioctl	
vga_pci_probe	
vga_pci_attach	
vga_pci_suspend	
vga_pci_resume	
vga_pci_read_ivar	
vga_pci_write_ivar	
vga_pci_setup_intr	
vga_pci_teardown_intr	
vga_pci_alloc_resource	
vga_pci_release_resource	
vga_pci_read_config	
vga_pci_write_config	
vga_pci_enable_busmaster	
vga_pci_disable_busmaster	
vga_pci_enable_io	
vga_pci_disable_io	
vga_pci_get_vpd_ident	
vga_pci_get_vpd_readonly	
vga_pci_get_powerstate	
vga_pci_set_powerstate	
vga_pci_assign_interrupt	
vga_pci_find_extcap	
vga_pci_alloc_msi	
vga_pci_alloc_msix	
vga_pci_remap_msix	
vga_pci_release_msi	
vga_pci_msi_count	
vga_pci_msix_count	
random_yarrow_init_harvester	
random_yarrow_deinit_harvester	
read_random_phony	
random_harvest	
read_random	
random_set_wakeup_exit	
yarrow_hash_init	
yarrow_hash_iterate	
yarrow_hash_finish	
yarrow_encrypt_init	
yarrow_encrypt	
random_ident_hardware	
random_close	
random_read	
random_write	
random_ioctl	
random_poll	
random_null_func	
random_modevent	
random_yarrow_init	
random_yarrow_deinit	
random_yarrow_block	
random_yarrow_write	
random_yarrow_poll	
random_yarrow_flush_reseed	
random_check_boolean	
random_kthread	
random_harvest_internal	
random_yarrow_unblock	
random_process_event	
reseed	
random_yarrow_init_alg	
random_check_uint_gengateinterval	
random_check_uint_bins	
random_check_uint_fastthresh	
random_check_uint_slowthresh	
random_check_uint_slowoverthresh	
random_yarrow_deinit_alg	
random_yarrow_read	
random_yarrow_reseed	
re_probe	
re_attach	
re_detach	
re_suspend	
re_resume	
re_shutdown	
re_miibus_readreg	
re_miibus_writereg	
re_miibus_statchg	
re_stop	
re_setwol	
re_init_locked	
re_reset	
re_rx_list_init	
re_set_rxmode	
re_tick	
re_txeof	
re_start_locked	
re_rxeof	
re_newbuf	
re_jumbo_newbuf	
re_gmii_writereg	
re_read_eeprom	
re_ifmedia_upd	
re_ifmedia_sts	
re_ioctl	
re_start	
re_init	
re_int_task	
re_intr_msi	
re_intr	
re_sysctl_stats	
sysctl_hw_re_int_mod	
re_dma_map_addr	
sdhci_dumpregs_for_external	
sdhci_dumpregs	
sdhci_set_ddll	
sdhci_probe	
sdhci_attach	
sdhci_detach	
sdhci_suspend	
sdhci_resume	
sdhci_read_ivar	
sdhci_write_ivar	
sdhci_update_ios	
sdhci_request	
sdhci_get_ro	
sdhci_acquire_host	
sdhci_release_host	
sdhci_register_sdio_irq_handler	
sdhci_unregister_sdio_irq_handler	
sdhci_enable_sdio_irq	
sdhci_enable_sdio_irq_internal	
slot_printf	
sdhci_start	
sdhci_intr	
sdhci_card_delay	
sdhci_reset	
sdhci_set_clock	
sdhci_finish_data	
sdhci_start_command	
sdhci_getaddr_adma2	
sdhci_getaddr	
sdhci_card_task	
uart_acpi_probe	
uart_acpi_add	
uart_isa_probe	
uart_pci_probe	
uart_add_sysdev	
uart_getname	
uart_getops	
uart_getrange	
uart_sched_softih	
uart_bus_ihand	
uart_intr_break	
uart_intr_overrun	
uart_intr_rxready	
uart_intr_sigchg	
uart_intr_txidle	
uart_bus_ipend	
uart_bus_sysdev	
uart_bus_probe	
uart_bus_attach	
uart_intr	
uart_bus_detach	
ns8250_bus_attach	
ns8250_bus_detach	
ns8250_bus_flush	
ns8250_bus_getsig	
ns8250_bus_ioctl	
ns8250_bus_ipend	
ns8250_bus_param	
ns8250_bus_probe	
ns8250_bus_receive	
ns8250_bus_setsig	
ns8250_bus_transmit	
ns8250_probe	
ns8250_init	
ns8250_drain	
ns8250_term	
ns8250_putc	
ns8250_rxready	
ns8250_getc	
uart_getenv	
uart_tty_intr	
uart_tty_outwakeup	
uart_tty_open	
uart_tty_close	
uart_tty_inwakeup	
uart_tty_ioctl	
uart_tty_param	
uart_tty_modem	
uart_tty_free	
uart_tty_attach	
uart_tty_detach	
uart_cnprobe	
uart_cninit	
uart_cnterm	
uart_cngetc	
uart_cnputc	
ehci_iterate_hw_softc	
ehci_reset	
ehci_init	
ehci_dump_regs	
ehci_hcreset	
ehci_do_poll	
ehci_detach	
ehci_suspend	
ehci_resume	
ehci_shutdown	
ehci_interrupt	
ehci_root_intr	
ehci_pcd_enable	
ehci_poll_timeout	
ehci_device_bulk_open	
ehci_device_bulk_close	
ehci_device_bulk_enter	
ehci_device_bulk_start	
ehci_device_ctrl_open	
ehci_device_ctrl_close	
ehci_device_ctrl_enter	
ehci_device_ctrl_start	
ehci_device_intr_open	
ehci_device_intr_close	
ehci_device_intr_enter	
ehci_device_intr_start	
ehci_device_isoc_fs_open	
ehci_device_isoc_fs_close	
ehci_device_isoc_fs_enter	
ehci_device_isoc_fs_start	
ehci_device_isoc_hs_open	
ehci_device_isoc_hs_close	
ehci_device_isoc_hs_enter	
ehci_device_isoc_hs_start	
ehci_roothub_exec	
ehci_ep_init	
ehci_xfer_setup	
ehci_xfer_unsetup	
ehci_get_dma_delay	
ehci_device_suspend	
ehci_device_resume	
ehci_set_hw_power	
_ehci_append_qh	
_ehci_remove_qh	
ehci_disown	
ehci_check_transfer	
ehci_timeout	
ehci_device_done	
ehci_dump_sqtds	
ehci_dump_itd	
ehci_dump_sitd	
ehci_dump_qtd	
ehci_non_isoc_done_sub	
ehci_setup_standard_chain	
ehci_setup_standard_chain_sub	
ehci_pci_probe	
ehci_pci_attach	
ehci_pci_detach	
ehci_pci_suspend	
ehci_pci_resume	
ehci_pci_shutdown	
ehci_pci_takecontroller	
ehci_pci_match	
ehci_pci_ati_quirk	
ohci_iterate_hw_softc	
ohci_init	
ohci_controller_init	
ohci_do_poll	
ohci_detach	
ohci_suspend	
ohci_dumpregs	
ohci_resume	
ohci_interrupt	
ohci_root_intr	
ohci_rhsc_enable	
ohci_device_bulk_open	
ohci_device_bulk_close	
ohci_device_bulk_enter	
ohci_device_bulk_start	
ohci_device_ctrl_open	
ohci_device_ctrl_close	
ohci_device_ctrl_enter	
ohci_device_ctrl_start	
ohci_device_intr_open	
ohci_device_intr_close	
ohci_device_intr_enter	
ohci_device_intr_start	
ohci_device_isoc_open	
ohci_device_isoc_close	
ohci_device_isoc_enter	
ohci_device_isoc_start	
ohci_roothub_exec	
ohci_ep_init	
ohci_xfer_setup	
ohci_xfer_unsetup	
ohci_get_dma_delay	
ohci_device_suspend	
ohci_device_resume	
ohci_set_hw_power	
_ohci_append_qh	
_ohci_remove_qh	
ohci_check_transfer	
ohci_timeout	
ohci_device_done	
ohci_dump_tds	
ohci_non_isoc_done_sub	
ohci_dump_itd	
ohci_setup_standard_chain	
ohci_setup_standard_chain_sub	
ohci_pci_probe	
ohci_pci_attach	
ohci_pci_detach	
ohci_pci_suspend	
ohci_pci_resume	
ohci_pci_match	
xhci_start_controller	
xhci_iterate_hw_softc	
xhci_do_poll	
xhci_halt_controller	
xhci_init	
xhci_configure_msg	
xhci_init_sub	
xhci_uninit	
xhci_suspend	
xhci_resume	
xhci_shutdown	
xhci_interrupt	
xhci_interrupt_poll	
xhci_device_generic_open	
xhci_device_generic_close	
xhci_device_generic_enter	
xhci_device_generic_start	
xhci_roothub_exec	
xhci_ep_init	
xhci_xfer_setup	
xhci_xfer_unsetup	
xhci_get_dma_delay	
xhci_device_suspend	
xhci_device_resume	
xhci_set_hw_power	
xhci_ep_clear_stall	
xhci_ep_uninit	
xhci_device_init	
xhci_device_uninit	
xhci_start_dma_delay	
xhci_device_state_change	
xhci_set_address	
xhci_configure_device	
xhci_configure_endpoint	
xhci_do_command	
xhci_free_device_ext	
xhci_device_done	
xhci_transfer_insert	
xhci_dump_trb	
xhci_timeout	
xhci_setup_generic_chain_sub	
xhci_generic_done	
xhci_generic_done_sub	
xhci_phy_init	
phy_iso_mode_init	
phy_8phase_calib	
phy_feedbackloop_en	
xhci_clear_port_power	
xhci_set_port_power	
xhci_pci_probe	
xhci_pci_attach	
xhci_pci_detach	
xhci_pci_suspend	
xhci_pci_resume	
xhci_pci_shutdown	
xhci_pci_takecontroller	
usb_probe	
usb_attach	
usb_detach	
usb_bus_mem_flush_all	
usb_bus_mem_flush_all_cb	
usb_bus_mem_alloc_all	
usb_bus_mem_alloc_all_cb	
usb_bus_mem_free_all	
usb_bus_mem_free_all_cb	
usb_bus_explore	
usb_bus_detach	
usb_bus_attach	
usb_power_wdog	
umass_t_bbb_reset1_callback	
umass_t_bbb_reset2_callback	
umass_t_bbb_reset3_callback	
umass_t_bbb_command_callback	
umass_t_bbb_data_read_callback	
umass_t_bbb_data_rd_cs_callback	
umass_t_bbb_data_write_callback	
umass_t_bbb_data_wr_cs_callback	
umass_t_bbb_status_callback	
umass_t_cbi_reset1_callback	
umass_t_cbi_reset2_callback	
umass_t_cbi_reset3_callback	
umass_t_cbi_command_callback	
umass_t_cbi_data_read_callback	
umass_t_cbi_data_rd_cs_callback	
umass_t_cbi_data_write_callback	
umass_t_cbi_data_wr_cs_callback	
umass_t_cbi_status_callback	
umass_t_cbi_reset4_callback	
umass_probe	
umass_attach	
umass_detach	
umass_t_cbi_data_clear_stall_callback	
umass_cam_cb	
umass_cam_sense_cb	
umass_cam_quirk_cb	
umass_probe_proto	
umass_scsi_transform	
umass_ufi_transform	
umass_atapi_transform	
umass_rbc_transform	
umass_no_transform	
umass_cam_action	
umass_cam_poll	
usbd_get_page	
usbd_copy_in	
usbd_copy_in_user	
usbd_m_copy_in	
usbd_m_copy_in_cb	
usb_uiomove	
usbd_copy_out	
usbd_copy_out_user	
usbd_frame_zero	
usb_pc_alloc_mem	
usb_dma_tag_find	
usb_pc_alloc_mem_cb	
usb_pc_cpu_flush	
usb_pc_free_mem	
usb_pc_load_mem	
usb_pc_load_mem_cb	
usb_pc_cpu_invalidate	
usb_pc_dmamap_create	
usb_pc_dmamap_destroy	
usb_dma_tag_setup	
usb_dma_tag_unsetup	
usb_bdma_work_loop	
usb_bdma_pre_sync	
usb_bdma_done_event	
usb_bdma_post_sync	
usb_dma_lock_cb	
usb_pc_common_mem_cb	
usb_linux_probe	
usb_linux_attach	
usb_linux_detach	
usb_linux_suspend	
usb_linux_resume	
usb_submit_urb	
usb_unlink_urb	
usb_unlink_urb_sub	
usb_clear_halt	
usb_control_msg	
usb_setup_endpoint	
usb_alloc_urb	
usb_start_wait_urb	
usb_free_urb	
usb_set_interface	
usb_ifnum_to_if	
usb_linux_isoc_callback	
usb_linux_non_isoc_callback	
usb_find_host_endpoint	
usb_altnum_to_altsetting	
usb_buffer_alloc	
usbd_get_intfdata	
usb_linux_register	
usb_linux_deregister	
usb_linux_free_device	
usb_buffer_free	
usb_kill_urb	
usb_init_urb	
usb_set_intfdata	
usb_fill_bulk_urb	
usb_bulk_msg	
usb_linux_wait_complete	
usb_unlink_bsd	
usb_linux_lookup_id	
usb_dump_iface	
usb_dump_device	
usb_dump_queue	
usb_dump_endpoint	
usb_dump_xfer	
usb_open	
usb_read	
usb_write	
usb_ioctl	
usb_poll	
usb_static_ioctl	
usb_fifo_free	
usb_free_symlink	
usb_fifo_close	
usb_fifo_reset	
usb_fifo_wait	
usb_fifo_signal	
usb_fifo_wakeup	
usb_fifo_attach	
usb_alloc_symlink	
usb_fifo_alloc_buffer	
usb_fifo_free_buffer	
usb_fifo_detach	
usb_fifo_put_bytes_max	
usb_fifo_put_data	
usb_fifo_put_data_linear	
usb_fifo_put_data_buffer	
usb_fifo_put_data_error	
usb_fifo_get_data	
usb_fifo_get_data_linear	
usb_fifo_get_data_buffer	
usb_fifo_get_data_error	
usb_read_symlink	
usb_fifo_set_close_zlp	
usb_fifo_set_write_defrag	
usb_fifo_softc	
usb_fifo_dummy_open	
usb_fifo_dummy_close	
usb_fifo_dummy_ioctl	
usb_fifo_dummy_cmd	
usb_ref_device	
usb_unref_device	
usb_fifo_uiomove	
usb_dev_uninit	
usb_dev_init_post	
usb_dev_init	
usb_fifo_open	
usb_close	
usb_statestr	
usb_get_manufacturer	
usb_get_product	
usb_get_serial	
usbd_get_ep_by_addr	
usbd_get_endpoint	
usbd_interface_count	
usb_endpoint_foreach	
usbd_set_config_index	
usbd_enum_is_locked	
usbd_enum_lock	
usb_unconfigure	
usb_set_device_state	
usb_config_parse	
usbd_enum_unlock	
usbd_set_alt_interface_index	
usbd_get_iface	
usbd_set_endpoint_stall	
usb_reset_iface_endpoints	
usb_detach_device	
usbd_set_parent_iface	
usb_probe_and_attach	
usb_suspend_resume	
usb_alloc_device	
usbd_clear_stall_proc	
usb_init_endpoint	
usb_make_dev	
usb_test_quirk	
usb_notify_addq	
usb_free_device	
usb_destroy_dev	
usbd_find_descriptor	
usbd_get_config_descriptor	
usbd_get_interface_descriptor	
usb_devinfo	
usbd_get_mode	
usbd_get_speed	
usbd_get_isoc_fps	
usbd_get_device_descriptor	
usbd_get_interface_altindex	
usbd_get_bus_index	
usbd_get_device_index	
usbd_get_device_address	
usb_peer_can_wakeup	
usb_get_device_state	
usbd_device_attached	
usbd_sr_lock	
usbd_sr_unlock	
usbd_set_pnpinfo	
usbd_add_dynamic_quirk	
usb_temp_get_desc_w	
usb_temp_setup_by_index_w	
usb_temp_unsetup_w	
usb_test_quirk_w	
usb_quirk_ioctl_w	
usb_quirk_unload	
usb_temp_unload	
usb_bus_unload	
usbd_errstr	
ugen_open	
ugen_close	
ugen_ioctl	
ugen_ioctl_post	
ugen_start_read	
ugen_stop_io	
ugen_start_write	
ugen_do_request	
ugen_check_request	
ugen_set_config	
ugen_fs_set_complete	
ugen_ctrl_fs_callback	
ugen_write_clear_stall_callback	
ugen_ctrl_write_callback	
ugen_transfer_setup	
ugen_isoc_write_callback	
ugen_read_clear_stall_callback	
ugen_ctrl_read_callback	
ugen_isoc_read_callback	
usb_handle_request_callback	
usb_handle_request	
usb_handle_set_stall	
usb_handle_remote_wakeup	
hid_start_parse	
hid_end_parse	
hid_get_item	
hid_report_size	
hid_locate	
hid_get_data	
hid_get_data_sub	
hid_get_data_unsigned	
hid_put_data_unsigned	
hid_is_collection	
hid_get_descriptor_from_usb	
usbd_req_get_hid_desc	
uhub_intr_callback	
uhub_probe	
uhub_attach	
uhub_detach	
uhub_suspend	
uhub_resume	
uhub_child_location_string	
uhub_child_pnpinfo_string	
uhub_driver_added	
uhub_root_intr	
usb_needs_explore	
uhub_query_info	
usb_hs_bandwidth_alloc	
usb_hs_bandwidth_adjust	
usb_hs_bandwidth_free	
usbd_fs_isoc_schedule_init_all	
usb_isoc_time_expand	
usbd_fs_isoc_schedule_isoc_time_expand	
usbd_fs_isoc_schedule_alloc	
usb_bus_port_get_device	
usb_bus_port_set_device	
usb_needs_explore_all	
usb_bus_power_update	
usbd_transfer_power_ref	
usb_bus_powerd	
usb_dev_resume_peer	
usb_dev_suspend_peer	
usbd_set_power_mode	
usbd_filter_power_mode	
usbd_start_re_enumerate	
uhub_explore	
uhub_read_port_status	
usbd_lookup_id_by_info	
usbd_lookup_id_by_uaa	
usb_alloc_mbufs	
bbb_command_callback	
bbb_data_read_callback	
bbb_data_rd_cs_callback	
bbb_data_write_callback	
bbb_data_wr_cs_callback	
bbb_status_callback	
usb_iface_is_cdrom	
bbb_attach	
bbb_command_start	
usb_msc_auto_quirk	
usb_msc_eject	
bbb_data_clear_stall_callback	
usb_desc_foreach	
usb_idesc_foreach	
usb_edesc_foreach	
usb_ed_comp_foreach	
usbd_get_no_descriptors	
usbd_get_no_alts	
usbpf_attach	
usbpf_detach	
usbpf_xfertap	
usb_proc_create	
usb_process	
usb_proc_free	
usb_proc_drain	
usb_proc_msignal	
usb_proc_is_gone	
usb_proc_mwait	
usb_proc_rewakeup	
usbd_do_request_callback	
usb_do_clear_stall_callback	
usbd_do_request_flags	
usbd_do_request_proc	
usbd_req_reset_port	
usbd_req_clear_port_feature	
usbd_req_set_port_feature	
usbd_req_get_port_status	
usbd_req_warm_reset_port	
usbd_req_get_desc	
usbd_req_get_string_any	
usbd_req_get_string_desc	
usbd_req_get_descriptor_ptr	
usbd_req_get_config_desc	
usbd_req_get_config_desc_full	
usbd_req_get_device_desc	
usbd_req_get_alt_interface_no	
usbd_req_set_alt_interface_no	
usbd_req_get_device_status	
usbd_req_get_hub_descriptor	
usbd_req_get_ss_hub_descriptor	
usbd_req_get_hub_status	
usbd_req_set_address	
usbd_req_clear_hub_feature	
usbd_req_set_hub_feature	
usbd_req_set_hub_u1_timeout	
usbd_req_set_hub_u2_timeout	
usbd_req_set_hub_depth	
usbd_req_set_protocol	
usbd_req_set_report	
usbd_req_get_report	
usbd_req_set_idle	
usbd_req_get_report_descriptor	
usbd_req_set_config	
usbd_req_get_config	
usbd_setup_device_desc	
usbd_req_re_enumerate	
usbd_req_reset_tt	
usbd_req_clear_device_feature	
usbd_req_set_device_feature	
usbd_req_clear_tt_buffer	
usbd_req_set_port_link_state	
usbd_req_set_lpm_info	
usb_request_callback	
usbd_get_dma_delay	
usbd_transfer_setup_sub	
usbd_xfer_set_frame_offset	
usbd_transfer_setup	
usbd_callback_wrapper	
usb_callback_proc	
usbd_transfer_unsetup_sub	
usbd_transfer_unsetup	
usbd_transfer_drain	
usbd_transfer_submit	
usbd_transfer_dequeue	
usbd_transfer_done	
usb_command_wrapper	
usbd_pipe_enter	
usbd_transfer_start	
usbd_transfer_stop	
usbd_transfer_pending	
usbd_xfer_get_frame	
usbd_xfer_get_fps_shift	
usbd_xfer_frame_len	
usbd_xfer_set_frame_data	
usbd_xfer_set_frame_len	
usbd_xfer_frame_data	
usbd_xfer_old_frame_length	
usbd_xfer_status	
usbd_xfer_set_interval	
usbd_xfer_set_timeout	
usbd_xfer_set_frames	
usbd_xfer_max_frames	
usbd_xfer_max_len	
usbd_xfer_max_framelen	
usb_dma_delay_done_cb	
usbd_transfer_enqueue	
usbd_xfer_set_stall	
usbd_xfer_is_stalled	
usbd_transfer_clear_stall	
usbd_pipe_start	
usbd_transfer_timeout_ms	
usbd_transfer_start_cb	
usbd_ctrl_transfer_setup	
usbd_clear_stall_locked	
usbd_clear_data_toggle	
usbd_clear_stall_callback	
usbd_xfer_state	
usbd_transfer_poll	
usbd_xfer_softc	
usbd_xfer_get_priv	
usbd_xfer_set_priv	
usbd_xfer_set_flag	
usbd_xfer_clr_flag	
usbd_xfer_get_timestamp	
device_delete_all_children	
device_set_usb_desc	
usb_pause_mtx	
usb_printbcd	
usb_trim_spaces	
usb_make_str_desc	
usbd_event_norify	
usbd_register_event_callback	
usbd_unregister_event_callback	
usbd_get_hwinfo	
ulpt_open	
ulpt_close	
ulpt_ioctl	
ulpt_start_read	
ulpt_stop_read	
ulpt_start_write	
ulpt_stop_write	
unlpt_open	
ulpt_write_callback	
ulpt_read_callback	
ulpt_status_callback	
ulpt_probe	
ulpt_attach	
ulpt_detach	
ulpt_watchdog	
usb_quirk_uninit	
usb_quirk_init	
usb_test_quirk_by_info	
usb_quirk_ioctl	
wdog_kern_last_timeout	
wdog_kern_pat	
kern_do_pat	
wd_ioctl	
watchdog_modevent	
dead_lookup	
dead_open	
dead_read	
dead_write	
dead_ioctl	
dead_poll	
dead_rename	
dead_bmap	
dead_getwritemount	
devfs_alloc	
devfs_dev_exists	
devfs_free	
devfs_free_cdp_inode	
devfs_find	
devfs_newdirent	
devfs_parent_dirent	
devfs_vmkdir	
devfs_dirent_free	
devfs_delete	
devfs_populate	
devfs_populate_loop	
devfs_cleanup	
devfs_purge	
devfs_create	
devfs_destroy	
devfs_alloc_cdp_inode	
devfs_devs_init	
sysctl_devname	
devfs_dir_find	
devfs_pathpath	
devfs_dir_ref_de	
devfs_dir_unref_de	
devfs_rules_apply	
devfs_rules_ioctl	
devfs_rules_cleanup	
devfs_rule_run	
devfs_rule_applyde_recursive	
devfs_unmount_final	
devfs_mount	
devfs_unmount	
devfs_root	
devfs_statfs	
devfs_get_cdevpriv	
devfs_set_cdevpriv	
devfs_destroy_cdevpriv	
devfs_fpdrop	
devfs_clear_cdevpriv	
devfs_fqpn	
devfs_allocv	
devfs_allocv_drop_refs	
devfs_insmntque_dtr	
dev2udev	
devfs_lookup	
devfs_mknod	
devfs_access	
devfs_getattr	
devfs_setattr	
devfs_rread	
devfs_rioctl	
devfs_revoke	
devfs_remove	
devfs_symlink	
devfs_readdir	
devfs_readlink	
devfs_reclaim	
devfs_pathconf	
devfs_vptocnp	
devfs_open	
devfs_close	
devfs_fsync	
devfs_print	
devfs_read_f	
devfs_write_f	
devfs_truncate_f	
devfs_ioctl_f	
devfs_poll_f	
devfs_kqfilter_f	
devfs_stat_f	
devfs_close_f	
devfs_populate_vp	
fifo_read_f	
fifo_write_f	
fifo_truncate_f	
fifo_ioctl_f	
fifo_poll_f	
fifo_kqfilter_f	
fifo_stat_f	
fifo_close_f	
filt_fifordetach	
filt_fiforead	
filt_fifowdetach	
filt_fifowrite	
filt_fifodetach_notsup	
filt_fifo_notsup	
fifo_open	
fifo_close	
fifo_print	
fifo_pathconf	
fifo_advlock	
fifo_printinfo	
dos2unixfn	
dos2unixchr	
unix2dosfn	
unix2doschr	
mbsadjpos	
unix2winfn	
winLenFixup	
winChkName	
mbnambuf_flush	
win2unixfn	
mbnambuf_write	
win2unixchr	
winChksum	
winSlotCnt	
mbnambuf_init	
deget	
de_vncmpf	
deupdat	
detrunc	
deextend	
reinsert	
msdosfs_reclaim	
msdosfs_inactive	
pcbmap	
fc_purge	
clusterfree	
fatentry	
updatefats	
clusteralloc	
freeclusterchain	
fillinusemap	
extendfile	
markvoldirty	
fatchain	
msdosfs_fileno_init	
msdosfs_fileno_free	
msdosfs_filenotree_RB_MINMAX	
msdosfs_filenotree_RB_NEXT	
msdosfs_filenotree_RB_REMOVE	
msdosfs_fileno_map	
msdosfs_filenotree_RB_FIND	
msdosfs_filenotree_RB_INSERT	
msdosfs_filenotree_RB_INSERT_COLOR	
msdosfs_filenotree_RB_REMOVE_COLOR	
msdosfs_filenotree_RB_REMOVE_COLOR_0	
msdosfs_filenotree_RB_NFIND	
msdosfs_filenotree_RB_PREV	
msdosfs_lookup	
msdosfs_lookup_	
createde	
dosdirempty	
doscheckpath	
readep	
readde	
removede	
uniqdosname	
findwin95	
msdosfs_mount	
msdosfs_cmount	
msdosfs_unmount	
msdosfs_root	
msdosfs_statfs	
msdosfs_sync	
msdosfs_fhtovp	
msdosfs_create	
msdosfs_mknod	
msdosfs_open	
msdosfs_close	
msdosfs_access	
msdosfs_getattr	
msdosfs_setattr	
msdosfs_read	
msdosfs_write	
msdosfs_fsync	
msdosfs_remove	
msdosfs_link	
msdosfs_rename	
msdosfs_mkdir	
msdosfs_rmdir	
msdosfs_symlink	
msdosfs_readdir	
msdosfs_bmap	
msdosfs_strategy	
msdosfs_print	
msdosfs_pathconf	
msdosfs_vptofh	
procfs_doprocfile	
procfs_docurproc	
procfs_attr	
procfs_notsystem	
procfs_candebug	
procfs_init	
procfs_uninit	
_procfs_mount	
_procfs_init	
_procfs_uninit	
procfs_doprocctl	
procfs_doprocdbregs	
procfs_doprocfpregs	
procfs_ioctl	
procfs_close	
procfs_doprocmap	
procfs_doprocmem	
procfs_doprocnote	
procfs_doosrel	
procfs_doprocregs	
procfs_doprocrlimit	
procfs_doprocstatus	
procfs_doproccmdline	
procfs_doproctype	
pfs_create_dir	
pfs_create_file	
pfs_create_link	
pfs_find_node	
pfs_destroy	
pfs_mount_0	
pfs_cmount_0	
pfs_unmount_0	
pfs_root_0	
pfs_statfs_0	
pfs_init	
pfs_uninit	
pfs_modevent	
pfs_fileno_init	
pfs_fileno_uninit	
pfs_fileno_alloc	
pfs_fileno_free	
pfs_vncache_load	
pfs_exit	
pfs_vncache_unload	
pfs_vncache_alloc	
pfs_vncache_free	
pfs_purge	
pfs_purge_locked	
pfs_lookup	
pfs_open	
pfs_close	
pfs_access	
pfs_getattr	
pfs_setattr	
pfs_read	
pfs_write	
pfs_ioctl	
pfs_readdir	
pfs_readlink	
pfs_reclaim	
pfs_getextattr	
pfs_vptocnp	
pfs_visible	
pfs_iterate	
udf_calc_freespace	
udf_translate_vtop	
udf_get_adslot	
udf_bmap_translate	
udf_translate_file_extent	
udf_to_unix_name	
udf2_iconv_mod_handler	
udf_cksum	
udf_unicode_cksum	
udf_ea_cksum	
udf_read_node	
udf_read_phys_dscr	
udf_read_phys_sectors	
udf_update_discinfo	
udf_dump_discinfo	
udf_update_trackinfo	
udf_synchronise_caches	
udf_search_tracks	
udf_check_tag	
udf_check_tag_payload	
udf_validate_tag_sum	
udf_validate_tag_and_crc_sums	
udf_tagsize	
udf_fidsize	
udf_lock_node	
udf_unlock_node	
udf_print_anchors	
udf_read_anchors	
udf_read_vds_space	
udf_read_vds_extent	
udf_process_vds	
udf_extattr_search_intern	
udf_vat_read	
udf_read_vds_tables	
udf_read_rootdirs	
udf_update_logvolname	
udf_get_node_id	
udf_get_node_longad	
udf_timestamp_to_timespec	
udf_getaccessmode	
udf_get_node	
udf_dispose_node	
udf_read_fid_stream	
udf_read_internal	
udf_mount	
udf_unmount	
udf_root	
udf_statfs	
udf_vget	
udf_fhtovp	
udf_init	
udf_uninit	
udf_alloc_node	
udf_free_node	
udf_unmount_sanity_check	
free_udf_mountinfo	
udf_cachedlookup	
udf_open	
udf_access	
udf_getattr	
udf_setattr	
udf_read	
udf_ioctl	
udf_readdir	
udf_readlink	
udf_reclaim	
udf_bmap	
udf_strategy	
udf_print	
udf_pathconf	
udf_vptofh	
udf_getanode	
g_ctl_ioctl	
g_ctl_init	
gctl_error	
gctl_set_param	
gctl_set_param_err	
gctl_get_param	
gctl_get_asciiparam	
gctl_get_paraml	
gctl_get_class	
gctl_get_geom	
gctl_get_provider	
g_ctl_req	
g_dev_open	
g_dev_close	
g_dev_ioctl	
g_dev_strategy	
g_dev_taste	
g_dev_attrchanged	
g_dev_orphan	
g_dev_print	
g_dev_getprovider	
g_dev_done	
g_disk_init	
g_disk_fini	
g_disk_start	
g_disk_dumpconf	
g_disk_access	
g_disk_ioctl	
disk_alloc	
disk_create	
g_disk_create	
disk_destroy	
g_disk_destroy	
disk_gone	
disk_attr_changed	
sysctl_disks	
g_kern_disks	
g_disk_done	
g_confdot	
g_conftxt	
g_conf_specific	
g_confxml	
g_trace	
g_conf_print_escaped	
g_conftxt_geom	
g_waitidle	
g_attr_changed	
g_post_event	
g_attr_changed_event	
g_orphan_provider	
g_run_events	
g_cancel_event	
g_post_event_x	
g_do_wither	
g_waitfor_event	
g_event_init	
g_new_bio	
g_alloc_bio	
g_destroy_bio	
g_clone_bio	
g_duplicate_bio	
g_io_init	
g_io_getattr	
g_io_request	
g_io_flush	
g_register_classifier	
g_unregister_classifier	
g_io_deliver	
g_io_schedule_down	
bio_taskqueue	
g_io_schedule_up	
g_read_data	
g_write_data	
g_delete_data	
g_print_bio	
g_init	
g_event_procbody	
g_up_procbody	
g_down_procbody	
geom_shutdown	
sysctl_kern_geom_conftxt	
sysctl_kern_geom_confdot	
sysctl_kern_geom_confxml	
g_slice_finish_hot	
g_slice_dumpconf	
g_slice_config	
g_slice_conf_hot	
g_slice_spoiled	
g_slice_destroy_geom	
g_slice_new	
g_slice_access	
g_slice_orphan	
g_slice_start	
g_slice_done	
g_modevent	
g_load_class	
g_retaste	
g_retaste_event	
g_new_geomf	
g_destroy_geom	
g_wither_geom	
g_wither_provider	
g_wither_geom_close	
g_access	
g_wither_washer	
g_destroy_provider	
g_detach	
g_destroy_consumer	
g_new_consumer	
g_new_providerf	
g_new_provider_event	
g_error_provider	
g_provider_by_name	
g_attach	
redo_rank	
g_spoil	
g_handleattr_int	
g_handleattr	
g_handleattr_off_t	
g_handleattr_str	
g_std_access	
g_std_done	
g_std_spoiled	
g_spoil_event	
g_getattr__	
g_compare_names	
g_valid_obj	
db_show_geom	
db_show_bio	
bio_show_del	
bio_show_add	
db_show_geom_geom	
db_show_geom_consumer	
db_show_geom_provider	
provider_flags_to_string	
geom_show_del	
geom_show_add	
g_vfs_orphan	
g_vfs_strategy	
g_vfs_done	
g_vfs_open	
g_vfs_close	
g_obi_orphan	
g_obi_create	
g_obi_destroy	
g_obi_read	
g_obi_start	
g_obi_write	
g_obi_done	
g_label_taste	
g_label_config	
g_label_destroy_geom	
g_label_skip_dir	
g_label_create	
g_label_orphan	
g_label_spoiled	
g_label_start_taste	
g_label_access_taste	
g_label_orphan_taste	
g_label_ext2fs_taste	
g_label_iso9660_taste	
g_label_msdosfs_taste	
g_label_ntfs_taste	
g_label_reiserfs_taste	
g_label_ufs_volume_taste	
g_label_ufs_id_taste	
g_label_ufs_taste_common	
g_label_gpt_taste	
g_label_gpt_uuid_taste	
g_part_taste	
g_part_ctlreq	
g_part_init	
g_part_fini	
g_part_destroy_geom	
g_part_start	
g_part_spoiled	
g_part_dumpconf	
g_part_access	
g_part_orphan	
g_part_alias_name	
g_part_geometry_heads	
g_part_new_entry	
g_part_modevent	
g_part_unload_event	
g_part_wither	
g_part_probe	
g_part_geometry	
g_part_check_integrity	
g_part_new_provider	
g_part_ctl_commit	
g_part_ctl_setunset	
g_part_bsd_add	
g_part_bsd_bootcode	
g_part_bsd_create	
g_part_bsd_destroy	
g_part_bsd_dumpconf	
g_part_bsd_dumpto	
g_part_bsd_modify	
g_part_bsd_resize	
g_part_bsd_name	
g_part_bsd_probe	
g_part_bsd_read	
g_part_bsd_type	
g_part_bsd_write	
bsd_parse_type	
g_part_bsd_modevent	
g_part_ebr_add	
g_part_ebr_create	
g_part_ebr_destroy	
g_part_ebr_dumpconf	
g_part_ebr_dumpto	
g_part_ebr_fullname	
g_part_ebr_modify	
g_part_ebr_name	
g_part_ebr_precheck	
g_part_ebr_probe	
g_part_ebr_read	
g_part_ebr_setunset	
g_part_ebr_type	
g_part_ebr_write	
ebr_parse_type	
g_part_ebr_modevent	
g_part_gpt_add	
g_part_gpt_bootcode	
g_part_gpt_create	
g_part_gpt_destroy	
g_part_gpt_dumpconf	
g_part_gpt_dumpto	
g_part_gpt_modify	
g_part_gpt_resize	
g_part_gpt_name	
g_part_gpt_probe	
g_part_gpt_read	
g_part_gpt_recover	
g_part_gpt_setunset	
g_part_gpt_type	
g_part_gpt_write	
gpt_write_mbr_entry	
gpt_read_hdr	
gpt_read_tbl	
gpt_parse_type	
g_gpt_utf8_to_utf16	
g_part_gpt_modevent	
g_part_mbr_add	
g_part_mbr_bootcode	
g_part_mbr_create	
g_part_mbr_destroy	
g_part_mbr_dumpconf	
g_part_mbr_dumpto	
g_part_mbr_modify	
g_part_mbr_resize	
g_part_mbr_name	
g_part_mbr_probe	
g_part_mbr_read	
g_part_mbr_setunset	
g_part_mbr_type	
g_part_mbr_write	
mbr_parse_type	
g_part_mbr_modevent	
g_part_obsf_dumpto	
g_part_obsf_name	
g_part_obsf_probe	
g_part_obsf_read	
g_part_obsf_type	
g_part_obsf_modevent	
g_part_ox_name	
g_part_ox_probe	
g_part_ox_read	
g_part_ox_type	
g_part_ox_get_bank	
g_part_ox_modevent	
isa_probe_children	
isa_assign_resources	
isa_probe	
isa_attach	
isa_add_child	
isa_print_child	
isa_probe_nomatch	
isa_read_ivar	
isa_write_ivar	
isa_child_detached	
isa_driver_added	
isa_get_resource_list	
isa_set_resource	
isa_child_pnpinfo_str	
isa_child_location_str	
isa_add_config	
isa_set_config_callback	
isa_pnp_probe	
isab_attach	
isa_print_all_resources	
isa_hinted_child	
isa_hint_device_unit	
cd9660_bmap	
cd9660_lookup	
cd9660_blkatoff	
cd9660_inactive	
cd9660_reclaim	
cd9660_defattr	
cd9660_deftstamp	
cd9660_tstamp_conv17	
cd9660_tstamp_conv7	
isodirino	
cd9660_rrip_analyze	
cd9660_rrip_loop	
cd9660_rrip_getname	
cd9660_rrip_defname	
cd9660_rrip_getsymname	
cd9660_rrip_offset	
cd9660_rrip_extref	
cd9660_rrip_cont	
cd9660_rrip_stop	
cd9660_rrip_slink	
cd9660_rrip_idflag	
cd9660_rrip_reldir	
cd9660_rrip_altname	
cd9660_rrip_pclink	
cd9660_rrip_attr	
cd9660_rrip_defattr	
cd9660_rrip_tstamp	
cd9660_rrip_deftstamp	
cd9660_rrip_device	
isochar	
isofncmp	
isofntrans	
sgetrune	
cd9660_mount	
cd9660_cmount	
cd9660_unmount	
cd9660_root	
cd9660_statfs	
cd9660_vget	
cd9660_fhtovp	
cd9660_vget_internal	
cd9660_open	
cd9660_access	
cd9660_getattr	
cd9660_setattr	
cd9660_read	
cd9660_ioctl	
cd9660_readdir	
cd9660_readlink	
cd9660_strategy	
cd9660_pathconf	
cd9660_vptofh	
iso_shipdir	
cd9660_iconv_mod_handler	
elf64_freebsd_trans_osrel	
kfreebsd_trans_osrel	
elf64_insert_brand_entry	
elf64_remove_brand_entry	
elf64_brand_inuse	
elf64_freebsd_fixup	
elf64_coredump	
each_writable_segment	
cb_size_segment	
elf64_puthdr	
elf64_load_shared_object	
elf64_load_section	
elf64_get_eh_frame_info	
elf64_get_fingerprint	
elf64_map_insert	
elf64_map_partial	
elf64_modevent	
exec_elf64_imgact	
elf64_putnote	
cb_put_phdr	
exec_shell_imgact	
shell_modevent	
sysinit_add	
mi_startup	
null_set_syscall_retval	
null_fetch_syscall_args	
get_init_safe_mode	
set_init_safe_mode	
kick_init	
create_init	
start_init	
shutdown_hook	
init_button_state_hook	
button_state_hook	
sysctl_kern_init_safe_mode	
random_init	
proc0_post	
proc0_init	
print_version	
print_caddr_t	
ksched_attach	
ksched_detach	
ksched_setparam	
ksched_setscheduler	
ksched_getparam	
ksched_getscheduler	
ksched_yield	
ksched_get_priority_max	
ksched_get_priority_min	
ksched_rr_get_interval	
sys_acct	
acct_thread	
acct_process	
sysctl_acct_chkfreq	
read_cpu_time	
hardclock_cpu	
hardclock	
profclock	
statclock	
hardclock_anycpu	
hardclock_sync	
tvtohz	
startprofclock	
stopprofclock	
sysctl_kern_clockrate	
sysctl_kern_cp_times	
sysctl_kern_cp_time	
initclocks	
cv_init	
cv_destroy	
_cv_wait	
_cv_wait_unlock	
_cv_wait_sig	
_cv_timedwait	
_cv_timedwait_sig	
cv_signal	
cv_signalto	
cv_broadcastpri	
dev_lock	
dev_unlock	
dev_ref	
dev_refl	
dev_rel	
dev_refthread	
devvn_refthread	
dev_relthread	
nullop	
eopnotsupp	
enxio	
enodev	
dead_strategy	
make_dev	
make_dev_credv	
make_dev_cred	
make_dev_credf	
make_dev_p	
dev_depends	
make_dev_alias	
make_dev_alias_v	
make_dev_alias_p	
make_dev_physpath_alias	
destroy_dev	
destroy_devl	
dev_unlock_and_free	
devtoname	
dev_stdclone	
clone_setup	
clone_create	
prep_cdevsw	
clone_cleanup	
destroy_dev_sched_cb	
destroy_dev_sched	
destroy_dev_drain	
drain_dev_clone_events	
db_show_cdev	
cdev_show_del	
cdev_show_add	
devdtr_init	
destroy_dev_tq	
giant_open	
giant_fdopen	
giant_close	
giant_read	
giant_write	
giant_ioctl	
no_poll	
giant_poll	
giant_mmap	
no_strategy	
giant_strategy	
giant_kqfilter	
giant_mmap_single	
giant_mmap_single_ext	
notify	
prep_devname	
cninit	
cnremove	
cnadd	
cnselect	
cninit_finish	
cnavailable	
cnunavailable	
cngetc	
cncheckc	
cnputc	
cnputs	
constty_set	
constty_timeout	
constty_clear	
sysbeep	
sysbeepstop	
cn_drvinit	
sysctl_kern_consmute	
sysctl_kern_console	
cpufreq_attach	
cpufreq_detach	
cf_set_method	
cf_get_method	
cf_levels_method	
cpufreq_register	
cpufreq_settings_sysctl	
cpufreq_unregister	
cpufreq_settings_changed	
cpufreq_insert_abs	
cpufreq_curr_sysctl	
cpufreq_levels_sysctl	
cpufreq_startup_task	
cpuset_ref	
cpuset_rel	
cpusetobj_ffs	
cpusetobj_strprint	
cpusetobj_strscan	
cpuset_setthread	
cpuset_which	
cpuset_thread0	
_cpuset_create	
cpuset_create_root	
cpuset_create	
cpuset_setproc_update_set	
cpuset_setproc	
sys_cpuset	
sys_cpuset_setid	
cpuset_lookup	
sys_cpuset_getid	
sys_cpuset_getaffinity	
sys_cpuset_setaffinity	
cpuset_modify	
db_show_cpusets	
cpusets_show_del	
cpusets_show_add	
cpuset_testupdate	
cpuset_update	
cpuset_init	
sys_getcontext	
sys_setcontext	
sys_swapcontext	
sys_getdtablesize	
sys_dup2	
do_dup	
sys_dup	
sys_fcntl	
kern_fcntl	
_fdrop	
funsetown	
funsetownlst	
fsetown	
fgetown	
sys_close	
kern_close	
sys_socketclose	
fdunused	
closef	
sys_closefrom	
sys_fstat	
kern_fstat	
fget	
sys_nfstat	
sys_fpathconf	
fdalloc	
fd_first_free	
fdgrowtable	
fdavail	
falloc_0	
falloc_noinstall	
finstall	
fdinit	
fdshare	
fdunshare	
fdcopy	
fdfree	
fddrop	
setugidsafety	
fdclose	
fdcloseexec	
fdcheckstd	
finit	
fget_unlocked	
_fget	
fget_mmap	
fget_read	
fget_write	
fgetcap	
fgetvp	
fgetvp_rights	
fgetvp_read	
fgetsock	
fputsock	
sys_flock	
dupfdopen	
mountcheckdirs	
filedesc_to_leader_alloc	
vntype_to_kinfo	
db_show_file	
db_show_files	
badfo_readwrite	
badfo_truncate	
badfo_ioctl	
badfo_poll	
badfo_kqfilter	
badfo_stat	
badfo_close	
badfo_chmod	
badfo_chown	
invfo_chmod	
invfo_chown	
fdopen	
fildesc_drvinit	
filelistinit	
db_print_file	
files_show_del	
files_show_add	
file_show_del	
file_show_add	
sysctl_kern_proc_filedesc	
export_fd_for_sysctl	
sysctl_kern_file	
kdtrace_proc_size	
kdtrace_thread_size	
init_dtrace	
kdtrace_proc_ctor	
kdtrace_proc_dtor	
kdtrace_thread_ctor	
kdtrace_thread_dtor	
sys_kenv	
getenv	
freeenv	
setenv	
unsetenv	
init_static_kenv	
testenv	
getenv_string	
getenv_int	
getenv_quad	
getenv_uint	
getenv_long	
getenv_ulong	
tunable_int_init	
tunable_long_init	
tunable_ulong_init	
tunable_quad_init	
tunable_str_init	
init_dynamic_kenv	
et_register	
et_deregister	
et_find	
et_init	
et_start	
et_stop	
et_ban	
et_free	
db_show_et	
et_show_del	
et_show_add	
sysctl_kern_eventtimer_choice	
kqueue_read	
kqueue_write	
kqueue_truncate	
kqueue_ioctl	
kqueue_poll	
kqueue_kqfilter	
kqueue_stat	
kqueue_close	
filt_fileattach	
filt_kqdetach	
filt_kqueue	
filt_procattach	
filt_procdetach	
filt_proc	
filt_timerattach	
filt_timerdetach	
filt_timer	
filt_userattach	
filt_userdetach	
filt_user	
filt_usertouch	
filt_pollingattach	
filt_pollingdetach	
filt_polling	
filt_hrtimerattach	
filt_hrtimerdetach	
filt_hrtimer	
filt_nullattach	
knote_fork	
knote_enqueue	
kqueue_register	
sys_kqueue	
knlist_init_mtx	
kqueue_task	
sys_kqueueex	
sys_kevent	
kevent_copyout	
kevent_copyin	
kern_kevent	
kqueue_acquire	
kqueue_release	
kern_epollabort	
kqueue_add_filteropts	
kqueue_del_filteropts	
knote	
knlist_add	
knlist_remove	
knlist_remove_kq	
knlist_remove_inevent	
knlist_empty	
knlist_init	
knlist_mtx_lock	
knlist_mtx_unlock	
knlist_mtx_assert_locked	
knlist_mtx_assert_unlocked	
knlist_destroy	
knlist_cleardel	
knote_drop	
knote_fdclose	
kqfd_register	
knote_init	
kqueue_fo_release	
kqueue_expand	
filt_hrtimerintr	
filt_hrtimerexpire	
polling_init	
do_polling_task	
handle_polling_timer_event	
handle_polling_timer_deregister	
polling_setup_timer	
filt_timerexpire	
taskqueue_define_kqueue	
hrt_expire_wa	
hrt_start	
hrt_stop	
hrt_processed	
hrt_init	
hrt_expire	
sys_execve	
exec_copyin_args	
kern_execve	
sys_fexecve	
sys___mac_execve	
exec_free_args	
exec_map_first_page	
exec_unmap_first_page	
exec_new_vmspace	
exec_alloc_args	
exec_copyout_strings	
exec_check_permissions	
exec_register	
exec_unregister	
shared_page_fill	
exec_sysvec_init	
shared_page_init	
sysctl_kern_stackprot	
sysctl_kern_usrstack	
sysctl_kern_ps_strings	
sys_sys_exit	
exit1	
proc_reparent	
sys_abort2	
sys_wait4	
kern_wait	
proc_reap	
fail_point_init	
fail_point_destroy	
fail_point_eval_nontrivial	
fail_point_sysctl	
parse_term	
sys_fork	
fork1	
sys_pdfork	
sys_vfork	
sys_rfork	
fork_exit	
fork_return	
sysctl_kern_randompid	
hhook_run_hooks	
hhook_add_hook	
hhook_add_hook_lookup	
hhook_head_get	
hhook_head_release	
hhook_remove_hook	
hhook_remove_hook_lookup	
hhook_head_register	
hhook_head_deregister	
hhook_head_destroy	
hhook_head_deregister_lookup	
hhook_head_is_virtualised	
hhook_head_is_virtualised_lookup	
hhook_vnet_uninit	
hhook_vnet_init	
idle_setup	
intr_priority	
intr_event_create	
intr_event_bind	
intr_setaffinity	
intr_lookup	
intr_getaffinity	
intr_event_destroy	
intr_event_add_handler	
intr_event_update	
ithread_update	
intr_event_describe_handler	
intr_handler_source	
_intr_drain	
intr_event_remove_handler	
swi_add	
swi_assign_cpu	
swi_sched	
intr_event_schedule_thread	
swi_remove	
intr_event_execute_handlers	
intr_event_handle	
db_dump_intr_event	
db_show_intr	
db_show_intrcnt	
intrcnt_show_del	
intrcnt_show_add	
sysctl_intrcnt	
sysctl_intrnames	
start_softintr	
intr_show_del	
intr_show_add	
ithread_loop	
sys_jail	
kern_jail	
jailed	
kern_jail_set	
sys_jail_set	
qcmp_v4	
qcmp_v6	
prison_find	
prison_ischild	
prison_name	
prison_find_name	
prison_deref	
prison_restrict_ip4	
prison_restrict_ip6	
do_jail_attach	
sys_jail_get	
kern_jail_get	
prison_find_child	
sys_jail_remove	
prison_remove_one	
sys_jail_attach	
prison_flag	
prison_allow	
prison_free_locked	
prison_complete	
prison_free	
prison_hold_locked	
prison_hold	
prison_proc_hold	
prison_proc_free	
prison_get_ip4	
prison_saddrsel_ip4	
prison_equal_ip4	
prison_local_ip4	
prison_remote_ip4	
prison_check_ip4	
prison_get_ip6	
prison_saddrsel_ip6	
prison_equal_ip6	
prison_local_ip6	
prison_remote_ip6	
prison_check_ip6	
prison_check_af	
prison_if	
prison_check	
jailed_without_vnet	
getcredhostname	
getcreddomainname	
getcredhostuuid	
getcredhostid	
prison_canseemount	
prison_enforce_statfs	
prison_priv_check	
sysctl_jail_default_level	
sysctl_jail_param	
prison_racct_foreach	
prison_racct_find	
prison_racct_hold	
prison_racct_free	
db_show_prison_command	
db_show_prison	
prison_show_del	
prison_show_add	
sysctl_jail_default_allow	
sysctl_jail_jailed	
sysctl_jail_list	
khelp_register_helper	
khelp_add_hhook	
khelp_remove_hhook	
khelp_deregister_helper	
khelp_init_osd	
khelp_destroy_osd	
khelp_get_osd	
khelp_get_id	
khelp_modevent	
khelp_vnet_init	
khelp_init	
kproc_start	
kproc_create	
kproc_exit	
kproc_suspend	
kproc_resume	
kproc_suspend_check	
kthread_start	
kthread_add	
kthread_exit	
kthread_suspend	
kthread_resume	
kthread_suspend_check	
kproc_kthread_add	
ktrsyscall	
ktr_submitrequest	
ktrsysret	
ktrprocexec	
ktrprocexit	
ktr_getrequest_entered	
ktr_drain	
ktrprocctor	
ktrprocfork	
ktruserret	
ktrnamei	
ktrsysctl	
ktrgenio	
ktrpsig	
ktrcsw	
ktrstruct	
sys_ktrace	
ktrops	
sys_utrace	
ktr_writerequest	
ktr_freerequest	
sysctl_kern_ktrace_request_pool	
ktrace_init	
linker_add_class	
linker_reference_module	
linker_load_module	
linker_release_module	
linker_file_unload	
linker_file_foreach	
linker_make_file	
linker_ctf_get	
linker_file_lookup_set	
linker_file_function_listall	
linker_file_lookup_symbol	
linker_file_lookup_symbol_internal	
linker_ddb_lookup	
linker_ddb_search_symbol	
linker_debug_search_symbol	
linker_ddb_symbol_values	
linker_ddb_search_symbol_name	
linker_debug_search_symbol_name	
linker_search_symbol_name	
kern_kldload	
sys_kldload	
kern_kldunload	
sys_kldunload	
sys_kldunloadf	
sys_kldfind	
linker_find_file_by_name	
sys_kldnext	
sys_kldstat	
kern_kldstat	
sys_kldfirstmod	
sys_kldsym	
linker_hwpmc_list_objects	
linker_load_dependencies	
linker_file_add_dependency	
sysctl_kern_function_list	
sysctl_kern_function_list_iterate	
linker_file_register_modules	
linker_lookup_file	
linker_preload	
linker_init_kernel_modules	
linker_stop_class_add	
linker_init	
assert_lockmgr	
db_show_lockmgr	
lock_lockmgr	
owner_lockmgr	
unlock_lockmgr	
lockinit	
lockallowshare	
lockallowrecurse	
lockdisablerecurse	
lockdestroy	
__lockmgr_args	
sleeplk	
wakeupshlk	
_lockmgr_disown	
lockmgr_printinfo	
lockstatus	
lockmgr_chain	
lf_advlockasync	
lf_clearremotesys	
lf_free_lock	
lf_advlock	
lf_purgelocks	
lf_iteratelocks_sysid	
lf_iteratelocks_vnode	
lf_clearremotesys_iterator	
lf_countlocks	
lf_cancel_lock	
lf_update_dependancies	
lf_activate_lock	
lf_add_edge	
lf_alloc_vertex	
lf_remove_edge	
lf_init	
lockstat_nsecs	
loginclass_hold	
loginclass_free	
loginclass_find	
sys_getloginclass	
sys_setloginclass	
loginclass_racct_foreach	
lc_init	
malloc_last_fail	
malloc_type_allocated	
malloc_type_freed	
malloc	
free	
realloc	
reallocf	
malloc_init	
malloc_uninit	
malloc_desc2type	
malloc_type_list	
db_show_malloc	
malloc_show_del	
malloc_show_add	
sysctl_kern_malloc_stats	
sysctl_kmem_map_free	
sysctl_kmem_map_size	
kmeminit	
m_pkthdr_init	
mbuf_init	
mb_ctor_mbuf	
mb_dtor_mbuf	
mb_ctor_clust	
mb_dtor_clust	
mb_ctor_pack	
mb_dtor_pack	
mb_zinit_pack	
mb_zfini_pack	
mbuf_jumbo_alloc	
mb_reclaim	
sysctl_nmbjumbo16	
sysctl_nmbjumbo9	
sysctl_nmbjumbop	
sysctl_nmbclusters	
tunable_mbinit	
sysctl_hostname	
sysctl_hostid	
sysctl_kern_config	
sysctl_kern_securelvl	
sysctl_hw_machine_arch	
sysctl_hw_pagesizes	
sysctl_hw_realmem	
sysctl_hw_physmem	
sysctl_kern_arnd	
module_register_init	
module_lookupbyname	
module_release	
module_register	
modevent_nop	
module_reference	
module_lookupbyid	
module_quiesce	
module_unload	
module_getid	
module_getfnext	
module_getname	
module_setspecific	
module_file	
sys_modnext	
sys_modfnext	
sys_modstat	
sys_modfind	
module_init	
module_shutdown	
mtx_pool_find	
mtx_pool_create	
mtx_pool_destroy	
mtx_pool_alloc	
mtx_pool_setup_dynamic	
mtx_pool_setup_static	
assert_mtx	
db_show_mtx	
lock_mtx	
owner_mtx	
unlock_mtx	
lock_spin	
unlock_spin	
_mtx_lock_flags	
_mtx_lock_sleep	
_mtx_unlock_flags	
_mtx_unlock_sleep	
_mtx_lock_spin_flags	
_mtx_lock_spin	
_mtx_unlock_spin_flags	
_mtx_trylock	
_thread_lock_flags	
thread_lock_block	
thread_lock_unblock	
thread_lock_set	
mtx_sysinit	
mtx_init	
mtx_destroy	
mutex_init	
sys_ntp_gettime	
sys_ntp_adjtime	
hardupdate	
ntp_update_second	
sys_adjtime	
kern_adjtime	
start_periodic_resettodr	
shutdown_resettodr	
periodic_resettodr	
sysctl_resettodr_period	
ntp_init	
ntp_sysctl	
osd_register	
osd_default_destructor	
osd_deregister	
do_osd_del	
osd_set	
osd_get	
osd_del	
osd_call	
osd_exit	
osd_init	
physio	
pmc_cpu_is_active	
pmc_cpu_is_present	
pmc_cpu_is_disabled	
pmc_cpu_is_primary	
pmc_cpu_max	
pmc_init_sx	
priv_check_cred	
priv_check	
procinit	
proc_ctor	
proc_dtor	
proc_init	
proc_fini	
inferior	
pfind	
pgfind	
enterpgrp	
sess_hold	
doenterpgrp	
enterthispgrp	
leavepgrp	
pgdelete	
fixjobc	
pgadjustjobc	
sess_release	
pgrpdump	
fill_kinfo_proc	
fill_kinfo_thread	
pstats_alloc	
pstats_fork	
pstats_free	
zpfind	
pargs_alloc	
pargs_hold	
pargs_drop	
sysctl_kern_proc_groups	
sysctl_kern_proc_kstack	
sysctl_kern_proc_vmmap	
sysctl_kern_proc	
sysctl_out_proc	
sysctl_kern_proc_sv_name	
sysctl_kern_proc_pathname	
sysctl_kern_proc_args	
pgrpdump_show_del	
pgrpdump_show_add	
sys_getpid	
sys_getppid	
sys_getpgrp	
sys_getpgid	
p_cansee	
sys_getsid	
sys_getuid	
sys_geteuid	
sys_getgid	
sys_getegid	
sys_getgroups	
kern_getgroups	
sys_setsid	
sys_setpgid	
sys_setuid	
crget	
crcopysafe	
change_ruid	
setsugid	
change_svuid	
change_euid	
crfree	
sys_seteuid	
sys_setgid	
change_rgid	
change_svgid	
change_egid	
sys_setegid	
sys_setgroups	
kern_setgroups	
sys_setreuid	
sys_setregid	
sys_setresuid	
sys_setresgid	
sys_getresuid	
sys_getresgid	
sys_issetugid	
sys___setugid	
groupmember	
securelevel_gt	
securelevel_ge	
cr_cansee	
cr_cansignal	
p_cansignal	
p_cansched	
p_candebug	
cr_canseesocket	
cr_canseeinpcb	
p_canwait	
crhold	
crshared	
crcopy	
crsetgroups	
crdup	
cru2x	
cred_update_thread	
sys_getlogin	
sys_setlogin	
racct_add	
racct_add_cred	
racct_add_force	
racct_set	
racct_set_force	
racct_sub	
racct_get_limit	
racct_get_available	
racct_create	
racct_destroy	
racct_proc_fork	
racct_proc_fork_done	
racct_proc_exit	
sys_rctl_get_racct	
sys_rctl_get_rules	
sys_rctl_get_limits	
sys_rctl_add_rule	
sys_rctl_remove_rule	
sys_getpriority	
sys_setpriority	
sys_rtprio_thread	
pri_to_rtp	
rtp_to_pri	
sys_rtprio	
sys_setrlimit	
kern_setrlimit	
lim_alloc	
lim_free	
lim_copy	
lim_cb	
sys_getrlimit	
lim_rlimit	
calccru	
calcru1	
calcru	
ruxagg	
rufetchtd	
sys_getrusage	
kern_getrusage	
rufetchcalc	
rucollect	
ruadd	
rufetch	
lim_hold	
lim_fork	
lim_max	
lim_cur	
uihashinit	
uifind	
uihold	
uifree	
ui_racct_foreach	
chgproccnt	
chgsbsize	
chgptscnt	
assert_rm	
lock_rm	
owner_rm	
unlock_rm	
rm_init_flags	
rm_init	
rm_destroy	
rm_wowned	
rm_sysinit	
rm_sysinit_flags	
_rm_rlock	
_rm_runlock	
_rm_wlock	
rm_cleanIPI	
_rm_wunlock	
_rm_wlock_debug	
_rm_wunlock_debug	
_rm_rlock_debug	
_rm_runlock_debug	
assert_rw	
db_show_rwlock	
lock_rw	
owner_rw	
unlock_rw	
rw_init_flags	
rw_destroy	
rw_sysinit	
rw_sysinit_flags	
rw_wowned	
_rw_wlock	
_rw_wlock_hard	
_rw_try_wlock	
_rw_wunlock	
_rw_wunlock_hard	
_rw_rlock	
_rw_try_rlock	
_rw_runlock	
_rw_try_upgrade	
_rw_downgrade	
sdt_probe_stub	
sdt_provider_register	
sdt_provider_deregister	
sdt_probe_register	
sdt_probe_deregister	
sdt_argtype_register	
sdt_argtype_deregister	
sdt_provider_listall	
sdt_probe_listall	
sdt_argtype_listall	
sdt_uninit	
sdt_init	
sema_init	
sema_destroy	
_sema_post	
_sema_wait	
_sema_timedwait	
_sema_trywait	
sema_value	
sys_reboot	
kern_reboot	
shutdown_nice	
doadump	
panic	
kproc_shutdown	
kthread_shutdown	
set_dumper	
dump_write	
mkdumpheader	
shutdown_conf	
poweroff_wait	
shutdown_halt	
shutdown_panic	
shutdown_reset	
filt_sigattach	
filt_sigdetach	
filt_signal	
ksiginfo_alloc	
ksiginfo_free	
sigqueue_init	
sigqueue_take	
sigqueue_flush	
sigqueue_delete	
sigqueue_delete_proc	
cursig	
signotify	
sigonstack	
sig_ffs	
kern_sigaction	
sys_sigaction	
siginit	
execsigs	
kern_sigprocmask	
reschedule_signals	
sys_sigprocmask	
sys_sigwait	
kern_sigtimedwait	
sys_sigtimedwait	
sys_sigwaitinfo	
sigqueue_get	
sigexit	
sys_sigpending	
sys_sigsuspend	
kern_sigsuspend	
postsig	
sys_sigaltstack	
kern_sigaltstack	
sys_kill	
pksignal	
killpg1	
sys_pdkill	
sys_sigqueue	
gsignal	
pgsignal	
trapsignal	
tdsendsignal	
kern_psignal	
sigev_findtd	
tdsignal	
tdksignal	
sigqueue_add	
childproc_continued	
tdsigwakeup	
sig_suspend_threads	
thread_stopped	
ptracestop	
tdsigcleanup	
childproc_stopped	
killproc	
childproc_jobstate	
childproc_exited	
nosys	
pgsigio	
sigacts_alloc	
sigacts_free	
sigacts_hold	
sigacts_copy	
sigacts_shared	
sysctl_debug_num_cores_check	
sigqueue_move_set	
sigqueue_start	
choosethread	
critical_enter	
critical_exit	
runq_init	
runq_add	
runq_add_pri	
runq_check	
runq_choose_fuzz	
runq_choose	
runq_choose_from	
runq_remove	
runq_remove_idx	
assert_sx	
db_show_sx	
lock_sx	
owner_sx	
unlock_sx	
sx_sysinit	
sx_init_flags	
sx_destroy	
_sx_slock	
_sx_try_slock	
_sx_xlock	
_sx_try_xlock	
_sx_sunlock	
_sx_xunlock	
_sx_try_upgrade	
_sx_downgrade	
_sx_xlock_hard	
_sx_xunlock_hard	
_sx_slock_hard	
_sx_sunlock_hard	
sx_chain	
sleepinit	
_sleep	
msleep_spin	
pause	
wakeup	
wakeup_one	
mi_switch	
setrunnable	
should_yield	
maybe_yield	
kern_yield	
sys_yield	
synch_setup	
loadav	
lkmnosys	
lkmressys	
syscall_thread_enter	
syscall_thread_exit	
syscall_register	
syscall_deregister	
syscall_module_handler	
syscall_helper_register	
syscall_helper_unregister	
sysctl_lock	
sysctl_unlock	
sysctl_register_oid	
sysctl_unregister_oid	
sysctl_ctx_init	
sysctl_ctx_free	
sysctl_remove_oid_locked	
sysctl_ctx_entry_add	
sysctl_ctx_entry_find	
sysctl_ctx_entry_del	
sysctl_remove_oid	
sysctl_remove_name	
sysctl_add_oid	
sysctl_rename_oid	
sysctl_move_oid	
sysctl_handle_int	
sysctl_msec_to_ticks	
sysctl_handle_long	
sysctl_handle_64	
sysctl_handle_string	
sysctl_handle_opaque	
kernel_sysctl	
sysctl_old_kernel	
sysctl_new_kernel	
sysctl_root	
kernel_sysctlbyname	
sysctl_wire_old_buffer	
sysctl_old_user	
sysctl_find_oid	
sys___sysctl	
userland_sysctl	
sysctl_new_user	
sbuf_new_for_sysctl	
sbuf_sysctl_drain	
sysctl_sysctl_oiddescr	
sysctl_sysctl_oidfmt	
sysctl_sysctl_name2oid	
sysctl_sysctl_next	
sysctl_sysctl_next_ls	
sysctl_sysctl_name	
sysctl_register_all	
dummy_get_timecount	
binuptime	
nanouptime	
microuptime	
bintime	
nanotime	
microtime	
getbinuptime	
getnanouptime	
getmicrouptime	
getbintime	
getnanotime	
getmicrotime	
tc_init	
sysctl_kern_timecounter_get	
sysctl_kern_timecounter_freq	
tc_getfrequency	
tc_setclock	
tc_windup	
pps_ioctl	
pps_init	
pps_capture	
pps_event	
tc_ticktock	
cpu_tick_calibration	
set_cputicker	
tc_cpu_ticks	
cpu_tickrate	
cputick2usec	
inittimecounter	
sysctl_kern_timecounter_choice	
sysctl_kern_timecounter_hardware	
sysctl_kern_boottime	
sys_thr_create	
create_thread	
sys_thr_new	
kern_thr_new	
sys_thr_self	
sys_thr_exit	
sys_thr_kill	
sys_thr_kill2	
sys_thr_suspend	
kern_thr_suspend	
sys_thr_wake	
sys_thr_set_name	
proc_linkup0	
proc_linkup	
thread_link	
threadinit	
thread_ctor	
thread_dtor	
thread_init	
thread_fini	
thread_stash	
thread_reap	
thread_free	
thread_alloc	
thread_alloc_stack	
thread_exit	
thread_unlink	
thread_unsuspend_one	
thread_wait	
thread_unthread	
thread_single	
thread_suspend_switch	
thread_suspend_check	
tidhash_remove	
thread_suspend_one	
thread_unsuspend	
thread_single_end	
thread_find	
tdfind	
tidhash_add	
sys_clock_gettime	
kern_clock_gettime	
timevaladd	
timevalsub	
sys_clock_settime	
kern_clock_settime	
settime	
sys_clock_getres	
kern_clock_getres	
kern_nanosleep	
sys_nanosleep	
sys_gettimeofday	
sys_settimeofday	
kern_settimeofday	
sys_getitimer	
kern_getitimer	
sys_setitimer	
kern_setitimer	
itimerfix	
realitexpire	
itimerdecr	
ratecheck	
ppsratecheck	
register_posix_clock	
sys_ktimer_create	
kern_timer_delete	
sys_ktimer_delete	
sys_ktimer_settime	
itimer_find	
sys_ktimer_gettime	
sys_ktimer_getoverrun	
itimer_accept	
itimespecfix	
itimer_fire	
itimer_start	
realtimer_create	
realtimer_settime	
realtimer_delete	
realtimer_gettime	
itimer_init	
itimer_fini	
itimers_event_hook_exit	
itimers_event_hook_exec	
realtimer_expire	
kern_timeout_callwheel_alloc	
kern_timeout_callwheel_init	
callout_cpu_init	
callout_tick	
callout_tickstofirst	
softclock	
callout_cpu_switch	
timeout	
callout_reset_on	
untimeout	
callout_lock	
_callout_stop_safe	
callout_handle_init	
callout_schedule_on	
callout_schedule	
callout_init	
_callout_init_lock	
start_softclock	
umtxq_alloc	
umtxq_free	
umtx_key_get	
umtx_key_release	
kern_umtx_wake	
umtx_pi_adjust	
umtx_pi_adjust_thread	
umtx_repropagate_priority	
sys__umtx_lock	
_do_lock_umtx	
sys__umtx_unlock	
do_unlock_umtx	
sys__umtx_op	
umtx_thread_init	
umtx_thread_fini	
umtx_thread_alloc	
umtx_thread_exit	
umtx_thread_cleanup	
__umtx_op_lock_umtx	
__umtx_op_unlock_umtx	
__umtx_op_wait	
__umtx_op_wake	
__umtx_op_trylock_umutex	
__umtx_op_lock_umutex	
__umtx_op_unlock_umutex	
__umtx_op_set_ceiling	
__umtx_op_cv_wait	
__umtx_op_cv_signal	
__umtx_op_cv_broadcast	
__umtx_op_wait_uint	
__umtx_op_rw_rdlock	
__umtx_op_rw_wrlock	
__umtx_op_rw_unlock	
__umtx_op_wait_uint_private	
__umtx_op_wake_private	
__umtx_op_wait_umutex	
__umtx_op_wake_umutex	
__umtx_op_sem_wait	
__umtx_op_sem_wake	
__umtx_op_nwake_private	
__umtx_op_cv_signalto	
do_lock_umutex	
_do_lock_umutex	
do_wait	
do_rw_wrlock	
do_rw_rdlock	
do_unlock_umutex	
umtxq_sysinit	
umtx_exec_hook	
kern_uuidgen	
sys_uuidgen	
snprintf_uuid	
printf_uuid	
sbuf_printf_uuid	
le_uuid_enc	
le_uuid_dec	
be_uuid_enc	
be_uuid_dec	
parse_uuid	
link_elf_lookup_symbol	
link_elf_symbol_values	
link_elf_search_symbol	
link_elf_unload_file	
link_elf_load_file	
link_elf_link_preload	
link_elf_link_preload_finish	
link_elf_lookup_set	
link_elf_each_function_name	
link_elf_each_function_nameval	
link_elf_ctf_get	
link_elf_symtab_get	
link_elf_strtab_get	
elf_relocaddr	
elf_get_sym	
elf_get_symname	
parse_dynamic	
parse_dpcpu	
link_elf_reloc_local	
relocate_file	
elf_lookup	
link_elf_preload_parse_symbols	
link_elf_init	
MD5Init	
MD5Update	
MD5Transform	
MD5Final	
syscall_not_present	
sys_sched_setparam	
sys_sched_getparam	
sys_sched_setscheduler	
sys_sched_getscheduler	
sys_sched_yield	
sys_sched_get_priority_max	
sys_sched_get_priority_min	
sys_sched_rr_get_interval	
kern_sched_rr_get_interval	
p31binit	
p31b_setcfg	
p31b_unsetcfg	
p31b_getcfg	
p31b_iscfg	
p31b_set_standard	
p31b_sysctl_proc	
schedinit	
sched_rr_interval	
sched_runnable	
sched_load	
sched_exit	
sched_exit_thread	
sched_fork	
sched_fork_thread	
sched_fork_exit	
sched_class	
sched_nice	
sched_lend_prio	
sched_lend_user_prio	
sched_prio	
sched_pctcpu	
sched_sleep	
sched_switch	
sched_add	
sched_throw	
sched_unlend_prio	
sched_user_prio	
sched_userret	
sched_rem	
sched_wakeup	
sched_preempt	
sched_clock	
grunq_remove	
sched_tick	
sched_relinquish	
sched_choose	
grunq_choose	
sched_idletd	
sched_bind	
sched_unbind	
sched_pin	
sched_unpin	
sched_is_bound	
sched_affinity	
sched_sizeof_proc	
sched_sizeof_thread	
sched_ipi_cpu	
sched_tdname	
sched_setup	
trace_sched_dummy	
trace_name_sched_dummy	
vaccess_acl_nfs4	
acl_nfs4_sync_mode_from_acl	
acl_nfs4_sync_acl_from_mode	
acl_nfs4_sync_acl_from_mode_draft	
acl_nfs4_compute_inherited_acl	
acl_nfs4_compute_inherited_acl_psarc	
acl_nfs4_is_trivial	
acl_nfs4_check	
vaccess_acl_posix1e	
acl_posix1e_acl_to_mode	
acl_posix1e_mode_to_perm	
acl_posix1e_mode_to_entry	
acl_posix1e_perms_to_mode	
acl_posix1e_check	
acl_posix1e_newfilemode	
config_intrhook_establish	
run_interrupt_driven_config_hooks	
config_intrhook_disestablish	
db_show_conifhk	
conifhk_show_del	
conifhk_show_add	
boot_run_interrupt_driven_config_hooks	
blist_create	
blst_radix_init	
blist_destroy	
blist_alloc	
blst_leaf_alloc	
blst_meta_alloc	
blist_free	
blst_meta_free	
blist_fill	
blst_meta_fill	
blist_resize	
blst_copy	
devopen	
devclose	
devread	
devioctl	
devpoll	
devctl_process_running	
devctl_queue_data_f	
devctl_queue_data	
devctl_notify_f	
devctl_notify	
bus_set_pass	
devclass_create	
devclass_find_internal	
devclass_find	
devclass_add_driver	
devclass_driver_added	
bus_data_generation_update	
devclass_delete_driver	
devclass_driver_deleted	
devclass_get_name	
devclass_get_device	
devclass_get_softc	
device_get_softc	
devclass_get_devices	
devclass_get_count	
devclass_get_drivers	
devclass_get_maxunit	
devclass_find_free_unit	
devclass_set_parent	
devclass_get_parent	
devclass_get_sysctl_ctx	
devclass_get_sysctl_tree	
device_add_child	
device_add_child_ordered	
make_device	
device_delete_child	
device_detach	
device_find_child	
device_probe_child	
device_set_driver	
device_set_devclass	
device_get_name	
device_get_parent	
device_get_children	
device_get_driver	
device_get_devclass	
device_get_nameunit	
device_get_unit	
device_get_desc	
device_get_flags	
device_get_sysctl_ctx	
device_get_sysctl_tree	
device_print_prettyname	
device_printf	
device_set_desc	
device_set_desc_copy	
device_set_flags	
device_set_softc	
device_get_ivars	
device_set_ivars	
device_get_state	
device_enable	
device_disable	
device_busy	
device_unbusy	
device_quiet	
device_verbose	
device_is_quiet	
device_is_enabled	
device_is_alive	
device_is_attached	
devclass_add_device	
device_probe	
device_probe_and_attach	
device_attach	
device_quiesce	
device_shutdown	
device_set_unit	
resource_list_init	
resource_list_free	
resource_list_add_next	
resource_list_find	
resource_list_add	
resource_list_busy	
resource_list_reserved	
resource_list_delete	
resource_list_reserve	
resource_list_alloc	
bus_activate_resource	
resource_list_release	
bus_deactivate_resource	
resource_list_unreserve	
resource_list_print_type	
resource_list_purge	
bus_release_resource	
bus_generic_add_child	
bus_generic_probe	
bus_generic_attach	
bus_generic_detach	
bus_generic_shutdown	
bus_generic_suspend	
bus_generic_resume	
bus_print_child_header	
bus_print_child_footer	
bus_generic_print_child	
bus_generic_read_ivar	
bus_generic_write_ivar	
bus_generic_get_resource_list	
bus_generic_driver_added	
bus_generic_new_pass	
bus_generic_setup_intr	
bus_generic_teardown_intr	
bus_generic_adjust_resource	
bus_generic_alloc_resource	
bus_generic_release_resource	
bus_generic_activate_resource	
bus_generic_deactivate_resource	
bus_generic_bind_intr	
bus_generic_config_intr	
bus_generic_describe_intr	
bus_generic_get_dma_tag	
bus_generic_rl_get_resource	
bus_generic_rl_set_resource	
bus_generic_rl_delete_resource	
bus_generic_rl_release_resource	
bus_generic_rl_alloc_resource	
bus_generic_child_present	
bus_alloc_resources	
bus_release_resources	
bus_alloc_resource	
bus_adjust_resource	
bus_setup_intr	
bus_teardown_intr	
bus_bind_intr	
bus_describe_intr	
bus_set_resource	
bus_get_resource	
bus_get_resource_start	
bus_get_resource_count	
bus_delete_resource	
bus_child_present	
bus_child_pnpinfo_str	
bus_child_location_str	
bus_get_dma_tag	
root_resume	
root_print_child	
root_setup_intr	
root_child_present	
root_bus_configure	
driver_module_handler	
bus_enumerate_hinted_children	
bus_data_generation_check	
bus_free_resource	
sysctl_devices	
sysctl_bus	
root_bus_module_handler	
devaddq	
device_sysctl_handler	
devclass_sysctl_handler	
sysctl_devctl_queue	
sysctl_devctl_disable	
buf_ring_alloc	
buf_ring_free	
clock_ct_to_ts	
clock_ts_to_ct	
utc_offset	
sysctl_machdep_adjkerntz	
devstat_new_entry	
devstat_remove_entry	
devstat_start_transaction	
devstat_start_transaction_bio	
devstat_end_transaction	
devstat_end_transaction_bio	
devstat_mmap	
sysctl_devstat	
disk_err	
bioq_init	
bioq_remove	
bioq_flush	
bioq_takefirst	
bioq_insert_head	
bioq_insert_tail	
bioq_first	
bioq_disksort	
eventhandler_register	
eventhandler_deregister	
eventhandler_find_list	
eventhandler_prune_list	
eventhandler_init	
timespec2fattime	
fattime2timespec	
firmware_register	
firmware_unregister	
firmware_get	
loadimage	
firmware_put	
firmware_modevent	
unloadentry	
firmware_mountroot	
set_rootvnode	
mountroot_evh_init	
hashinit_flags	
hashinit	
hashdestroy	
phashinit	
resource_int_value	
resource_long_value	
resource_string_value	
resource_find_match	
resource_find_dev	
resource_disabled	
res_find	
kdb_panic	
kdb_reboot	
kdb_break	
kdb_enter	
kdb_alt_break	
kdb_alt_break_internal	
kdb_alt_break_gdb	
kdb_backtrace	
kdb_dbbe_select	
kdb_init	
kdb_jmpbuf	
kdb_reenter	
kdb_thr_ctx	
kdb_thr_first	
kdb_thr_from_pid	
kdb_thr_lookup	
kdb_thr_next	
kdb_thr_select	
kdb_trap	
kdb_sysctl_trap_code	
kdb_sysctl_trap	
kdb_sysctl_panic	
kdb_sysctl_enter	
kdb_sysctl_current	
kdb_sysctl_available	
kobj_error_method	
kobj_class_compile	
kobj_class_compile_static	
kobj_lookup_method	
kobj_lookup_method_mi	
kobj_class_free	
kobj_create	
kobj_init	
kobj_init_static	
kobj_delete	
kobj_init_mutex	
lock_init	
lock_destroy	
db_show_lock	
lock_show_del	
lock_show_add	
logopen	
logclose	
logread	
logioctl	
logpoll	
log_drvinit	
logtimeout	
preload_search_by_name	
preload_search_by_type	
preload_search_next_name	
preload_search_info	
preload_delete_name	
preload_fetch_addr	
preload_fetch_size	
preload_bootstrap_relocate	
msgbuf_init	
msgbuf_clear	
msgbuf_reinit	
msgbuf_getcount	
msgbuf_addchar	
msgbuf_addstr	
msgbuf_getchar	
msgbuf_getbytes	
msgbuf_peekbytes	
msgbuf_copy	
init_param1	
init_param2	
sysctl_kern_vm_guest	
pcpu_init	
dpcpu_init	
pcpu_find	
dpcpu_alloc	
dpcpu_free	
dpcpu_copy	
pcpu_destroy	
sysctl_dpcpu_quad	
sysctl_dpcpu_long	
sysctl_dpcpu_int	
db_show_dpcpu_off	
db_show_pcpu	
db_show_cpu_all	
allpcpu_show_del	
allpcpu_show_add	
show_pcpu	
pcpu_show_all_del	
pcpu_show_all_add	
pcpu_show_del	
pcpu_show_add	
dpcpu_off_show_del	
dpcpu_off_show_add	
dpcpu_startup	
power_pm_register	
power_pm_deferred_fn	
power_pm_get_type	
power_pm_suspend	
power_profile_get_state	
power_profile_set_state	
tablefull	
log	
uprintf	
kvprintf	
putchar	
tprintf	
ttyprintf	
log_console	
printf	
vprintf	
sprintf	
vsprintf	
snprintf	
vsnprintf	
snprintf_func	
vsnrprintf	
msgbufinit	
db_show_msgbuf	
hexdump	
msgbuf_show_del	
msgbuf_show_add	
sysctl_kern_msgbuf_clear	
sysctl_kern_msgbuf	
putbuf	
sys_profil	
addupc_intr	
addupc_task	
__bb_fork_func	
tcov_init	
rman_init	
rman_manage_region	
rman_init_from_resource	
rman_fini	
rman_first_free_region	
rman_last_free_region	
rman_adjust_resource	
rman_reserve_resource_bound	
int_rman_release_resource	
rman_reserve_resource	
rman_activate_resource	
rman_await_resource	
rman_deactivate_resource	
rman_release_resource	
rman_make_alignment_flags	
rman_set_start	
rman_get_start	
rman_set_end	
rman_get_end	
rman_get_size	
rman_get_flags	
rman_set_virtual	
rman_get_virtual	
rman_set_bustag	
rman_get_bustag	
rman_set_bushandle	
rman_get_bushandle	
rman_set_rid	
rman_get_rid	
rman_set_device	
rman_get_device	
rman_is_region_manager	
db_show_rman	
db_show_rmans	
db_show_all_rman	
allrman_show_del	
allrman_show_add	
dump_rman	
rman_show_all_del	
rman_show_all_add	
rmans_show_del	
rmans_show_add	
rman_show_del	
rman_show_add	
sysctl_rman	
clock_register	
inittodr	
resettodr	
sbuf_new	
sbuf_uionew	
sbuf_delete	
sbuf_clear	
sbuf_setpos	
sbuf_set_drain	
sbuf_bcat	
sbuf_bcopyin	
sbuf_extend	
sbuf_bcpy	
sbuf_cat	
sbuf_copyin	
sbuf_cpy	
sbuf_vprintf	
sbuf_putc_func	
sbuf_printf	
sbuf_putc	
sbuf_trim	
sbuf_error	
sbuf_finish	
sbuf_data	
sbuf_len	
sbuf_done	
sscanf	
vsscanf	
sglist_count	
sglist_alloc	
sglist_free	
sglist_append	
_sglist_append_buf	
sglist_append_phys	
sglist_append_mbuf	
sglist_append_user	
sglist_append_uio	
sglist_consume_uio	
sglist_build	
sglist_clone	
sglist_length	
sglist_split	
sglist_join	
sglist_slice	
init_sleepqueues	
sleepq_init	
sleepq_alloc	
sleepq_free	
sleepq_lock	
sleepq_lookup	
sleepq_release	
sleepq_add	
sleepq_set_timeout	
sleepq_timeout	
sleepq_sleepcnt	
sleepq_wait	
sleepq_switch	
sleepq_wait_sig	
sleepq_catch_signals	
sleepq_timedwait	
sleepq_timedwait_sig	
sleepq_type	
sleepq_signal	
sleepq_resume_thread	
sleepq_signalto	
sleepq_broadcast	
sleepq_remove	
sleepq_abort	
db_show_sleepqueue	
sleepqueue_show_del	
sleepqueue_show_add	
sleepq_show_del	
sleepq_show_add	
forward_signal	
stop_cpus	
generic_stop_cpus	
stop_cpus_hard	
suspend_cpus	
restart_cpus	
smp_rendezvous_action	
smp_no_rendevous_barrier	
smp_rendezvous_cpus	
smp_rendezvous	
smp_topo	
smp_topo_1level	
smp_topo_none	
smp_topo_2level	
smp_topo_addleaf	
smp_topo_find	
mp_start	
mp_setmaxid	
stack_create	
stack_destroy	
stack_put	
stack_copy	
stack_zero	
stack_print	
stack_print_short	
stack_print_ddb	
stack_print_short_ddb	
stack_sbuf_print	
stack_sbuf_print_ddb	
_timeout_task_init	
taskqueue_create	
taskqueue_free	
taskqueue_enqueue	
taskqueue_enqueue_locked	
taskqueue_enqueue_timeout	
taskqueue_timeout_func	
taskqueue_block	
taskqueue_unblock	
taskqueue_run	
taskqueue_run_locked	
taskqueue_cancel	
taskqueue_cancel_timeout	
taskqueue_drain	
taskqueue_drain_timeout	
taskqueue_start_threads	
taskqueue_thread_loop	
taskqueue_thread_enqueue	
taskqueue_create_fast	
taskqueue_enqueue_fast	
taskqueue_member	
taskqueue_define_fast	
taskqueue_fast_enqueue	
taskqueue_fast_run	
taskqueue_define_thread	
taskqueue_define_swi_giant	
taskqueue_swi_giant_enqueue	
taskqueue_swi_giant_run	
taskqueue_define_swi	
taskqueue_swi_enqueue	
taskqueue_swi_run	
userret	
ast	
syscallname	
init_turnstiles	
turnstile_adjust	
turnstile_adjust_thread	
propagate_priority	
turnstile_alloc	
turnstile_free	
turnstile_chain_lock	
turnstile_trywait	
turnstile_cancel	
turnstile_lookup	
turnstile_chain_unlock	
turnstile_claim	
turnstile_wait	
turnstile_signal	
turnstile_broadcast	
turnstile_unpend	
turnstile_disown	
turnstile_head	
turnstile_empty	
db_show_turnstile	
db_show_lockchain	
db_show_allchains	
db_show_sleepchain	
db_show_locktree	
print_waiters	
locktree_show_del	
locktree_show_add	
sleepchain_show_del	
sleepchain_show_add	
allchains_show_del	
allchains_show_add	
print_lockchain	
chains_show_all_del	
chains_show_all_add	
lockchain_show_del	
lockchain_show_add	
turnstile_show_del	
turnstile_show_add	
init_turnstile0	
turnstile_init	
turnstile_fini	
copyin_nofault	
copyout_nofault	
uiomove	
uiomove_faultflag	
uiomove_nofault	
uiomove_frombuf	
ureadc	
copyinfrom	
copyinstrfrom	
copyiniov	
copyinuio	
cloneuio	
copyout_map	
copyout_unmap	
clean_unrhdrl	
clean_unrhdr	
new_unrhdr	
delete_unrhdr	
alloc_unrl	
collapse_unr	
alloc_unr	
alloc_unr_specific	
free_unr	
sys_cap_enter	
sys_cap_getmode	
sys_cap_new	
sys_cap_getrights	
cap_funwrap	
cap_funwrap_mmap	
sys_read	
kern_readv	
sys_pread	
kern_preadv	
freebsd6_pread	
sys_readv	
dofileread	
sys_preadv	
sys_write	
kern_writev	
sys_pwrite	
kern_pwritev	
freebsd6_pwrite	
sys_writev	
dofilewrite	
sys_pwritev	
kern_ftruncate	
sys_ftruncate	
sys_ioctl	
kern_ioctl	
poll_no_poll	
sys_pselect	
kern_pselect	
kern_select	
sys_select	
seltdwait	
sys_poll	
sys_openbsd_poll	
selsocket	
seldrain	
doselwakeup	
selrecord	
selwakeup	
selwakeuppri	
seltdfini	
selectinit	
selfdfree	
filt_pipedetach	
filt_piperead	
filt_pipewrite	
kern_pipe	
pipeclose	
sys_pipe	
pipespace_new	
pipe_read	
pipe_write	
pipe_truncate	
pipe_ioctl	
pipe_poll	
pipe_kqfilter	
pipe_stat	
pipe_close	
pipe_direct_write	
pipe_build_write_buffer	
pipe_clone_write_buffer	
pipeinit	
pipe_zone_ctor	
pipe_zone_init	
pipe_zone_fini	
sys_pdgetpid	
proc_read_regs	
proc_write_regs	
proc_read_dbregs	
proc_write_dbregs	
proc_read_fpregs	
proc_write_fpregs	
proc_sstep	
proc_rwmem	
sys_ptrace	
kern_ptrace	
stopevent	
sys_sandbox_path	
sys_randomized_path	
soo_read	
soo_write	
soo_truncate	
soo_ioctl	
soo_poll	
soo_stat	
soo_close	
shmfork	
shmexit	
ipcperm	
tty_kqops_read_detach	
tty_kqops_read_event	
tty_kqops_write_detach	
tty_kqops_write_event	
ttydev_open	
ttydev_close	
ttydev_read	
ttydev_write	
ttydev_ioctl	
ttydev_poll	
ttydev_mmap	
ttydev_kqfilter	
ttyil_open	
ttyil_close	
ttyil_rdwr	
ttyil_ioctl	
tty_init_console	
tty_alloc	
tty_alloc_mutex	
ttydevsw_defopen	
ttydevsw_defclose	
ttydevsw_defoutwakeup	
ttydevsw_definwakeup	
ttydevsw_defioctl	
ttydevsw_defcioctl	
ttydevsw_defparam	
ttydevsw_defmodem	
ttydevsw_defmmap	
ttydevsw_defpktnotify	
ttydevsw_deffree	
tty_rel_pgrp	
tty_rel_sess	
tty_rel_free	
tty_rel_gone	
tty_wakeup	
tty_makedev	
tty_signal_sessleader	
tty_signal_pgrp	
tty_wait	
tty_timedwait	
tty_flush	
tty_hiwat_in_unblock	
tty_ioctl	
tty_udev	
tty_checkoutq	
tty_hiwat_in_block	
ttyhook_register	
ttyhook_defrint	
ttyhook_unregister	
ttyconsdev_open	
ttyconsdev_write	
ttyconsdev_select	
db_show_tty	
db_show_all_ttys	
ttys_show_all_del	
ttys_show_all_add	
tty_show_del	
tty_show_add	
ttyconsdev_init	
sysctl_kern_ttys	
tty_dealloc	
tty_wait_background	
ttydev_leave	
tty_info	
proc_sum	
ttyinq_setsize	
ttyinq_free	
ttyinq_flush	
ttyinq_read_uio	
ttyinq_write	
ttyinq_write_nofrag	
ttyinq_canonicalize	
ttyinq_findchar	
ttyinq_peekchar	
ttyinq_unputchar	
ttyinq_reprintpos_set	
ttyinq_reprintpos_reset	
ttyinq_line_iterate_from_linestart	
ttyinq_line_iterate_from_reprintpos	
ttyinq_startup	
ttyoutq_flush	
ttyoutq_setsize	
ttyoutq_free	
ttyoutq_read	
ttyoutq_read_uio	
ttyoutq_write	
ttyoutq_write_nofrag	
ttyoutq_startup	
pts_kqops_read_detach	
pts_kqops_read_event	
pts_kqops_write_detach	
pts_kqops_write_event	
ptsdrv_open	
ptsdrv_close	
ptsdrv_outwakeup	
ptsdrv_inwakeup	
ptsdrv_pktnotify	
ptsdrv_free	
pts_alloc	
pts_alloc_external	
sys_posix_openpt	
pts_init	
ptsdev_read	
ptsdev_write	
ptsdev_truncate	
ptsdev_ioctl	
ptsdev_poll	
ptsdev_kqfilter	
ptsdev_stat	
ptsdev_close	
cttyopen	
ctty_drvinit	
ctty_clone	
ttydisc_open	
ttydisc_optimize	
ttydisc_close	
ttydisc_read	
ttydisc_read_raw_read_timer	
ttydisc_write	
ttydisc_write_oproc	
ttydisc_modem	
ttydisc_rint	
ttydisc_rubchar	
ttydisc_rint_simple	
ttydisc_rint_bypass	
ttydisc_rint_done	
ttydisc_rint_poll	
ttydisc_getc	
ttydisc_getc_uio	
ttydisc_getc_poll	
tty_putchar	
ttydisc_echo_force	
ttydisc_reprint_char	
ttydisc_recalc_charlength	
accept_filt_add	
accept_filt_del	
accept_filt_get	
accept_filt_generic_mod_event	
do_getopt_accept_filter	
do_setopt_accept_filter	
db_show_socket	
db_show_sockbuf	
db_show_protosw	
db_show_domain	
db_print_domain	
domain_show_del	
domain_show_add	
db_print_protosw	
protosw_show_del	
protosw_show_add	
db_print_sockbuf	
sockbuf_show_del	
sockbuf_show_add	
socket_show_del	
socket_show_add	
domain_init	
protosw_init	
domain_add	
pffindtype	
pffindproto	
pf_proto_register	
pf_proto_unregister	
pfctlinput	
pfctlinput2	
domainfinalize	
pffasttimo	
pfslowtimo	
domaininit	
socket_zone_change	
m_getm2	
m_freem	
m_extadd	
mb_free_ext	
m_demote	
m_sanity	
m_move_pkthdr	
m_dup_pkthdr	
m_prepend	
m_copym	
m_copymdata	
m_apply	
m_copypacket	
m_copydata	
m_dup	
m_cat	
m_adj	
m_pullup	
m_copyup	
m_split	
m_devget	
m_copyback	
m_append	
m_getptr	
m_print	
m_fixhdr	
m_length	
m_defrag	
m_collapse	
m_uiotombuf	
m_mbuftouio	
m_align	
m_unshare	
m_pulldown	
m_tag_free_default	
m_tag_alloc	
m_tag_delete	
m_tag_delete_chain	
m_tag_delete_nonpersistent	
m_tag_locate	
m_tag_copy	
m_tag_copy_chain	
sys_ksem_init	
ksem_create	
sys_ksem_open	
sys_ksem_unlink	
sys_ksem_close	
ksem_get	
sys_ksem_post	
sys_ksem_wait	
kern_sem_wait	
sys_ksem_timedwait	
sys_ksem_trywait	
sys_ksem_getvalue	
sys_ksem_destroy	
sem_modload	
ksem_drop	
ksem_alloc	
ksem_read	
ksem_write	
ksem_truncate	
ksem_ioctl	
ksem_poll	
ksem_kqfilter	
ksem_stat	
ksem_closef	
ksem_chmod	
ksem_chown	
sys_shm_open	
shm_alloc	
shm_access	
shm_dotruncate	
sys_shm_unlink	
shm_mmap	
shm_read	
shm_write	
shm_truncate	
shm_ioctl	
shm_poll	
shm_kqfilter	
shm_stat	
shm_close	
shm_chmod	
shm_chown	
shm_dict_init	
socantsendmore_locked	
sowakeup	
socantsendmore	
socantrcvmore_locked	
socantrcvmore	
sbwait	
sblock	
sbunlock	
soreserve	
sbreserve_locked	
sbrelease_locked	
sbreserve	
sbrelease_internal	
sbrelease	
sbdestroy	
sbappend_locked	
sbappendrecord_locked	
sbcompress	
sbappend	
sbappendstream_locked	
sbappendstream	
sbappendrecord	
sbappendaddr_locked	
sbappendaddr	
sbappendcontrol_locked	
sbappendcontrol	
sbflush_locked	
sbflush	
sbdrop_locked	
sbdrop_internal	
sbdrop	
sbsndptr	
sbdroprecord_locked	
sbdroprecord	
sbcreatecontrol	
sbtoxsockbuf	
sysctl_handle_sb_max	
filt_sordetach	
filt_solisten	
filt_soread	
filt_sowdetach	
filt_sowrite	
socreate	
pru_attach_notsupp	
soalloc	
sodealloc	
sonewconn	
soabort	
sobind	
solisten	
solisten_proto_check	
solisten_proto	
sofree	
soclose	
sodisconnect	
soaccept	
soconnect	
soconnect2	
sosend_dgram	
sosend_generic	
sosend	
soreceive_generic	
soreceive_rcvoob	
sodupsockaddr	
soreceive_stream	
soreceive_dgram	
soreceive	
soshutdown	
sorflush	
sooptcopyin	
sosetopt	
sooptcopyout	
sogetopt	
soopt_getm	
soopt_mcopyin	
soopt_mcopyout	
sohasoutofband	
sopoll	
sopoll_generic	
soo_kqfilter	
pru_accept_notsupp	
pru_bind_notsupp	
pru_connect_notsupp	
pru_connect2_notsupp	
pru_control_notsupp	
pru_disconnect_notsupp	
pru_listen_notsupp	
pru_peeraddr_notsupp	
pru_rcvd_notsupp	
pru_rcvoob_notsupp	
pru_send_notsupp	
pru_sense_null	
pru_shutdown_notsupp	
pru_sockaddr_notsupp	
pru_sosend_notsupp	
pru_soreceive_notsupp	
pru_sopoll_notsupp	
socheckuid	
soisconnecting	
soisconnected	
soupcall_set	
soupcall_clear	
soisdisconnecting	
soisdisconnected	
sotoxsocket	
so_listeners_apply_all	
so_sockbuf_rcv	
so_sockbuf_snd	
so_state_get	
so_state_set	
so_options_get	
so_options_set	
so_error_get	
so_error_set	
so_linger_get	
so_linger_set	
so_protosw_get	
so_protosw_set	
so_sorwakeup	
so_sowwakeup	
so_sorwakeup_locked	
so_sowwakeup_locked	
so_lock	
so_unlock	
init_maxsockets	
sysctl_maxsockets	
sysctl_somaxconn	
sys_socket	
sys_socketex	
sys_netcontrol	
sys_netabort	
sys_netgetsockinfo	
sys_netgetiflist	
bnet_netdescriptor	
getsock_cap	
desccheck	
kern_netevent_set_queue	
sys_bind	
getsockaddr	
kern_bind	
sys_listen	
kern_accept	
sys_accept	
accept1	
sys_connect	
kern_connect	
kern_socketpair	
sys_socketpair	
kern_sendit	
sys_sendto	
sendit	
sys_sendmsg	
kern_recvit	
sys_recvfrom	
sys_recvmsg	
sys_shutdown	
sys_setsockopt	
kern_setsockopt	
sys_getsockopt	
kern_getsockopt	
kern_getsockname	
sys_getsockname	
getsockname1	
kern_getpeername	
sys_getpeername	
getpeername1	
sockargs	
sf_buf_mext	
sys_sendfile	
kern_sendfile	
sys_sctp_peeloff	
sys_sctp_generic_sendmsg	
sys_sctp_generic_sendmsg_iov	
sys_sctp_generic_recvmsg	
uipc_ctloutput	
unp_init	
unp_externalize	
unp_dispose	
uipc_abort	
uipc_accept	
uipc_attach	
uipc_bind	
uipc_connect	
uipc_connect2	
uipc_detach	
uipc_disconnect	
uipc_listen	
uipc_peeraddr	
uipc_rcvd	
uipc_send	
uipc_sense	
uipc_shutdown	
uipc_sockaddr	
uipc_close	
uipc_control	
vfs_unp_reclaim	
db_show_unpcb	
unpcb_show_del	
unpcb_show_add	
unp_scan	
unp_discard	
unp_externalize_fp	
unp_zone_change	
unp_gc	
unp_process_defers	
unp_accessable	
unp_pcblist	
unp_disconnect	
unp_connect	
unp_addsockcred	
unp_connect2	
unp_drop	
acl_copy_oldacl_into_acl	
acl_copy_acl_into_oldacl	
sys___acl_get_file	
vacl_get_acl	
sys___acl_get_link	
sys___acl_set_file	
vacl_set_acl	
sys___acl_set_link	
sys___acl_get_fd	
sys___acl_set_fd	
sys___acl_delete_file	
vacl_delete	
sys___acl_delete_link	
sys___acl_delete_fd	
sys___acl_aclcheck_file	
vacl_aclcheck	
sys___acl_aclcheck_link	
sys___acl_aclcheck_fd	
acl_alloc	
acl_free	
filt_aioattach	
filt_aiodetach	
filt_aio	
filt_lioattach	
filt_liodetach	
filt_lio	
sys_aio_cancel	
sys_aio_error	
sys_aio_fsync	
sys_aio_read	
sys_aio_return	
sys_aio_suspend	
sys_aio_waitcomplete	
sys_aio_write	
sys_lio_listio	
sys_oaio_read	
sys_oaio_write	
sys_olio_listio	
aio_init_aioinfo	
aio_kick_helper	
aio_newproc	
aio_aqueue	
aio_kick_nowait	
aio_bio_done_notify	
kern_lio_listio	
aio_free_entry	
aio_sendsig	
aiocb_copyin_old_sigevent	
aiocb_fetch_status	
aiocb_fetch_error	
aiocb_store_status	
aiocb_store_error	
aiocb_store_kernelinfo	
aiocb_store_aiocb	
aiocb_copyin	
aio_physwakeup	
biohelper	
aio_daemon	
aio_modload	
aio_swake_cb	
aio_proc_rundown	
aio_proc_rundown_exec	
taskqueue_define_aiod_bio	
bufwrite	
bufstrategy	
bufsync	
bufbdflush	
runningbufwakeup	
waitrunningbufspace	
bd_speedup	
kern_vfs_bio_buffer_alloc	
bufinit	
bremfree	
bremfreef	
bread	
breadn	
breada	
getblk	
vfs_busy_pages	
brelse	
bufwait	
bunpin_wait	
bundirty	
bufobj_wref	
vfs_bio_awrite	
bawrite	
bdwrite	
bdirty	
bqrelse	
bwillwrite	
buf_dirty_count_severe	
allocbuf	
buf_vm_page_count_severe	
vfs_vmio_release	
vfs_bio_clcheck	
incore	
getnewbuf	
geteblk	
biodone	
biowait	
biofinish	
bwait	
dev_strategy	
bufdonebio	
bufdone	
bufobj_wdrop	
bufdone_finish	
bdone	
vfs_unbusy_pages	
vfs_drain_busy_pages	
vfs_setdirty_locked_object	
vfs_bio_set_valid	
vfs_bio_clrbuf	
vmapbuf	
vunmapbuf	
bufobj_wrefl	
bufobj_wwait	
bpin	
bunpin	
db_show_buffer	
lockedbufs	
db_show_vnodebufs	
db_coundfreebufs	
countfreebufs_cmd_del	
countfreebufs_cmd_add	
vnodebufs_show_del	
vnodebufs_show_add	
lockedbufs_show_del	
lockedbufs_show_add	
buffer_show_del	
buffer_show_add	
bfreekva	
buf_do_flush	
flushbufqueues	
buf_daemon	
cache_lookup	
cache_zap	
cache_enter	
cache_purge	
cache_purge_negative	
cache_purgevfs	
vfs_cache_lookup	
sys___getcwd	
kern___getcwd	
vn_fullpath1	
vn_fullpath	
vn_fullpath_global	
vn_vptocnp	
vn_vptocnp_locked	
vn_commname	
nchinit	
cluster_read	
cluster_rbuild	
cluster_write	
cluster_wbuild	
cluster_callback	
vop_eopnotsupp	
vop_stdislocked	
vop_nolookup	
vop_null	
vop_stdaccess	
vop_stdaccessx	
vop_enotty	
vop_nopoll	
vop_stdkqfilter	
vop_panic	
vop_norename	
vop_einval	
vop_stdlock	
vop_stdunlock	
vop_stdbmap	
vop_nostrategy	
vop_stdgetwritemount	
vop_stdadvlock	
vop_stdadvlockasync	
vop_stdadvlockpurge	
vop_stdgetpages	
vop_stdputpages	
vop_stdvptofh	
vop_stdvptocnp	
vop_stdallocate	
vop_stdunp_bind	
vop_stdunp_connect	
vop_stdunp_detach	
vop_ebadf	
vop_enoent	
vop_stdpathconf	
vop_stdpoll	
vop_stdfsync	
vfs_stdroot	
vfs_stdstatfs	
vfs_stdquotactl	
vfs_stdsync	
vfs_stdnosync	
vfs_stdvget	
vfs_stdfhtovp	
vfs_stdinit	
vfs_stduninit	
vfs_stdextattrctl	
vfs_stdsysctl	
vfs_export	
vfs_setpublicfs	
vfs_stdcheckexp	
vfs_free_netcred	
sys_extattrctl	
sys_extattr_set_fd	
extattr_set_vp	
sys_extattr_set_file	
sys_extattr_set_link	
sys_extattr_get_fd	
extattr_get_vp	
sys_extattr_get_file	
sys_extattr_get_link	
sys_extattr_delete_fd	
extattr_delete_vp	
sys_extattr_delete_file	
sys_extattr_delete_link	
sys_extattr_list_fd	
extattr_list_vp	
sys_extattr_list_file	
sys_extattr_list_link	
vfs_hash_get	
vfs_hash_remove	
vfs_hash_insert	
vfs_hash_rehash	
vfs_hashinit	
vfs_byname	
vfs_byname_kld	
vfs_modevent	
namei	
lookup	
relookup	
NDFREE	
kern_alternate_path	
nameiinit	
vfs_freeopts	
vfs_deleteopt	
vfs_buildopts	
vfs_sanitizeopts	
sys_nmount	
vfs_donmount	
vfs_ref	
vfs_rel	
vfs_mount_alloc	
vfs_mount_destroy	
vfs_getopt	
vfs_getopt_pos	
sys_mount	
mount_argsu	
mount_argb	
sys_unmount	
dounmount	
vfs_mount_error	
vfs_opterror	
vfs_filteropt	
vfs_getopts	
vfs_flagopt	
vfs_scanopt	
vfs_setopt	
vfs_setopt_part	
vfs_setopts	
vfs_copyopt	
__mnt_vnode_next	
__mnt_vnode_markerfree	
__mnt_vnode_first	
__vfs_statfs	
vfs_mountedfrom	
mount_arg	
mount_argf	
kernel_mount	
kernel_vmount	
vfs_oexport_conv	
vfs_mount_init	
mount_init	
mount_fini	
root_mount_hold	
root_mounted	
root_mount_rel	
root_mount_wait	
vfs_mountroot	
set_rootvnode_0	
parse_mount	
vfs_busy	
vfs_unbusy	
vfs_getvfs	
vfs_busyfs	
vfs_suser	
vfs_getnewfsid	
vfs_timestamp	
vattr_null	
vdestroy	
getnewvnode	
vnlru_free	
insmntque1	
insmntque	
insmntque_stddtr	
bufobj_invalbuf	
flushbuflist	
vinvalbuf	
vtruncbuf	
gbincore	
buf_splay	
bgetvp	
vhold	
buf_vlist_add	
brelvp	
buf_vlist_remove	
vdrop	
speedup_syncer	
reassignbuf	
vn_syncer_add_to_worklist	
vget	
vholdl	
vinactive	
vref	
vrefcnt	
vrele	
vputx	
vput	
vunref	
vdropl	
vflush	
vgonel	
vgone	
vrecycle	
vcount	
count_dev	
vn_printf	
lockedvnodes	
db_show_vnode	
db_show_mount	
vfs_unmountall	
vfs_msync	
v_addpollinfo	
vfs_knllock	
vfs_knlunlock	
vfs_knl_assert_locked	
vfs_knl_assert_unlocked	
vn_pollrecord	
sync_fsync	
sync_inactive	
sync_reclaim	
vfs_allocate_syncvnode	
vfs_deallocate_syncvnode	
vn_isdisk	
vaccess	
extattr_check_cred	
vop_rename_fail	
vop_rename_pre	
vop_strategy_pre	
vop_lookup_pre	
vop_lookup_post	
vop_lock_pre	
vop_lock_post	
vop_unlock_pre	
vop_unlock_post	
vop_create_post	
vop_link_post	
vop_mkdir_post	
vop_mknod_post	
vop_remove_post	
vop_rename_post	
vop_rmdir_post	
vop_setattr_post	
vop_symlink_post	
vfs_event_signal	
filt_fsattach	
filt_fsdetach	
filt_fsevent	
init_va_filerev	
filt_vfsdetach	
filt_vfsread	
filt_vfswrite	
filt_vfsvnode	
vfs_kqfilter	
vfs_read_dirent	
vfs_mark_atime	
vfs_unixify_accmode	
sysctl_vfs_ctl	
vfs_event_init	
vfs_sysctl	
sysctl_vfs_conflist	
mount_show_del	
mount_show_add	
vnode_show_del	
vnode_show_add	
lockedvnods_show_del	
lockedvnods_show_add	
sched_sync	
syncer_shutdown	
sync_vnode	
sysctl_vfs_worklist_len	
vtryrecycle	
vnlru_proc	
vntblinit	
sys_sync	
sys_quotactl	
statfs_scale_blocks	
sys_statfs	
kern_statfs	
sys_fstatfs	
kern_fstatfs	
getvnode	
sys_getfsstat	
kern_getfsstat	
sys_fchdir	
change_dir	
sys_chdir	
kern_chdir	
sys_chroot	
change_root	
sys_open	
kern_open	
sys_openat	
kern_openat	
sys_mknod	
kern_mknod	
sys_mknodat	
kern_mknodat	
kern_mkfifoat	
sys_mkfifo	
kern_mkfifo	
sys_mkfifoat	
sys_link	
kern_link	
sys_linkat	
kern_linkat	
sys_symlink	
kern_symlink	
sys_symlinkat	
kern_symlinkat	
sys_undelete	
sys_unlink	
kern_unlink	
sys_unlinkat	
kern_rmdirat	
kern_unlinkat	
sys_lseek	
freebsd6_lseek	
sys_access	
kern_access	
sys_faccessat	
kern_accessat	
sys_eaccess	
kern_eaccess	
sys_stat	
kern_stat	
sys_fstatat	
kern_statat	
kern_statat_vnhook	
sys_lstat	
kern_lstat	
cvtnstat	
sys_nstat	
sys_nlstat	
sys_pathconf	
kern_pathconf	
sys_lpathconf	
sys_readlink	
kern_readlink	
sys_readlinkat	
kern_readlinkat	
sys_chflags	
setfflags	
sys_lchflags	
sys_fchflags	
setfmode	
sys_chmod	
kern_chmod	
sys_fchmodat	
kern_fchmodat	
sys_lchmod	
sys_fchmod	
setfown	
sys_chown	
kern_chown	
sys_fchownat	
kern_fchownat	
sys_lchown	
kern_lchown	
sys_fchown	
sys_utimes	
kern_utimes	
sys_futimesat	
kern_utimesat	
setutimes	
sys_lutimes	
kern_lutimes	
sys_futimes	
kern_futimes	
sys_truncate	
kern_truncate	
freebsd6_truncate	
freebsd6_ftruncate	
sys_fsync	
sys_rename	
kern_rename	
sys_renameat	
kern_renameat	
sys_mkdir	
kern_mkdir	
sys_mkdirat	
kern_mkdirat	
sys_rmdir	
kern_rmdir	
sys_getdirentries	
kern_getdirentries	
sys_getdents	
sys_umask	
sys_revoke	
sys_lgetfh	
sys_getfh	
sys_fhopen	
sys_fhstat	
sys_fhstatfs	
kern_fhstatfs	
sys_posix_fallocate	
sys_is_in_sandbox	
vn_read	
vn_write	
vn_truncate	
vn_ioctl	
vn_poll	
vn_kqfilter	
vn_statfile	
vn_closefile	
vn_chmod	
vn_chown	
vn_open	
vn_open_cred	
vn_start_write	
vn_finished_write	
vn_writechk	
vn_close	
_vn_lock	
vn_rdwr	
vn_rdwr_inchunks	
vn_stat	
vn_start_secondary_write	
vn_finished_secondary_write	
vfs_write_suspend	
vfs_write_resume	
vn_extattr_get	
vn_extattr_set	
vn_extattr_rm	
vn_vget_ino	
vn_rlimit_fsize	
vn_pages_remove	
arc4rand	
arc4random	
arc4_init	
bsearch	
calculate_crc32c	
fnmatch	
gets	
iconv_open	
iconv_close	
iconv_conv	
iconv_conv_case	
iconv_convchr	
iconv_convchr_case	
towlower	
towupper	
iconv_add	
iconv_register_cspair	
iconv_converter_initstub	
iconv_converter_donestub	
iconv_converter_tolowerstub	
iconv_converter_handler	
iconv_convstr	
iconv_convmem	
iconv_lookupcp	
iconv_vfs_refcount	
iconv_sysctl_add	
iconv_sysctl_cslist	
iconv_sysctl_drvlist	
iconv_mod_handler	
iconv_ucs_open	
iconv_ucs_close	
iconv_ucs_conv	
iconv_ucs_init	
iconv_ucs_done	
iconv_ucs_name	
iconv_xlat_open	
iconv_xlat_close	
iconv_xlat_conv	
iconv_xlat_name	
iconv_xlat16_open	
iconv_xlat16_close	
iconv_xlat16_conv	
iconv_xlat16_name	
iconv_xlat16_tolower	
iconv_xlat16_toupper	
index	
inet_aton	
inet_ntoa	
inet_ntoa_r	
inet_ntop	
inet_pton	
inet_pton4	
memcmp	
qsort	
qsort_r	
srandom	
random	
rindex	
scanc	
skpc	
strcasecmp	
strncasecmp	
strcat	
strcmp	
strcpy	
strcspn	
strdup	
strlcat	
strlcpy	
strlen	
strncmp	
strncpy	
strnlen	
strsep	
strspn	
strstr	
strtol	
strtoq	
strtoul	
strtouq	
strvalid	
bpfopen	
bpfread	
bpfwrite	
bpfioctl	
bpfpoll	
bpfkqfilter	
filt_bpfdetach	
filt_bpfread	
bpf_bufheld	
bpf_tap	
catchpacket	
bpf_append_bytes	
bpf_mtap	
bpf_append_mbuf	
bpf_mtap2	
bpfattach	
bpfattach2	
bpfdetach	
bpf_detachd	
bpf_drvinit	
bpf_canfreebuf	
bpf_buf_reclaimed	
bpf_canwritebuf	
bpf_timed_out	
reset_d	
bpf_attachd	
bpf_dtor	
bpf_stats_sysctl	
bpf_buffer_alloc	
bpf_buffer_append_bytes	
bpf_buffer_append_mbuf	
bpf_buffer_free	
bpf_buffer_init	
bpf_buffer_ioctl_sblen	
bpf_buffer_uiomove	
bpf_filter	
m_xword	
bpf_validate	
bpf_zerocopy_append_bytes	
bpf_zerocopy_append_mbuf	
bpf_zerocopy_buffull	
bpf_zerocopy_bufheld	
bpf_zerocopy_buf_reclaimed	
bpf_zerocopy_canfreebuf	
bpf_zerocopy_canwritebuf	
bpf_zerocopy_free	
bpf_zerocopy_ioctl_getzmax	
bpf_zerocopy_ioctl_rotzbuf	
bpf_zerocopy_ioctl_setzbuf	
zbuf_setup	
zbuf_page_free	
ifnet_byindex_locked	
ifnet_byindex	
ifnet_byindex_ref	
if_ref	
ifaddr_byindex	
ifa_ref	
if_alloc	
do_link_state_change	
ifq_init	
if_free_type	
if_free_internal	
if_free	
if_rele	
ifq_delete	
if_attach	
if_purgeaddrs	
ifa_free	
if_detach	
if_addgroup	
if_delgroup	
if_addr_rlock	
if_addr_runlock	
if_maddr_rlock	
if_maddr_runlock	
ifa_init	
ifa_add_loopback_route	
ifa_del_loopback_route	
ifa_ifwithaddr	
ifa_ifwithaddr_internal	
ifa_ifwithaddr_check	
ifa_ifwithbroadaddr	
ifa_ifwithdstaddr	
ifa_ifwithnet	
ifaof_ifpforaddr	
if_link_state_change	
if_link_mode_change	
if_down	
if_up	
if_qflush	
ifunit_ref	
ifunit	
ifioctl	
ifpromisc	
if_setflag	
if_allmulti	
if_findmulti	
if_addmulti	
if_allocmulti	
if_delmulti	
if_delmulti_locked	
if_delallmulti	
if_delmulti_ifma	
if_setlladdr	
if_initname	
if_printf	
if_start	
if_handoff	
if_register_com_alloc	
if_deregister_com_alloc	
if_rtdel	
if_attachdomain	
if_attachdomain1	
if_transmit	
link_rtrequest	
if_grow	
if_init	
vnet_if_init	
vnet_if_clone_init	
if_clone_init	
if_clone_create	
if_clone_createif	
if_clone_destroy	
if_clone_destroyif	
if_clone_attach	
if_clone_detach	
ifc_simple_attach	
if_clone_list	
ifc_name2unit	
ifc_alloc_unit	
ifc_free_unit	
ifc_simple_match	
ifc_simple_create	
ifc_simple_destroy	
if_dead	
ifdead_output	
ifdead_input	
ifdead_start	
ifdead_ioctl	
ifdead_resolvemulti	
ifdead_qflush	
ifdead_transmit	
db_show_ifnet	
db_show_all_ifnets	
ifnets_show_all_del	
ifnets_show_all_add	
ifnet_show_del	
ifnet_show_add	
ether_output	
ether_output_frame	
ether_ipfw_chk	
ether_nh_input	
ether_demux	
ether_sprintf	
ether_ifattach	
ether_input	
ether_resolvemulti	
ether_ifdetach	
ether_crc32_le	
ether_crc32_be	
ether_ioctl	
ether_vlan_mtap	
ether_vlanencap	
ether_modevent	
ether_alloc	
ether_free	
ether_init	
lo_clone_create	
lo_clone_destroy	
looutput	
if_simloop	
loioctl	
lortrequest	
loop_modevent	
vnet_loif_init	
lltable_sysctl_dumparp	
llentry_free	
llentry_update	
lltable_free	
lltable_prefix_free	
lltable_init	
lla_rt_output	
db_show_llentry	
db_show_lltable	
db_show_all_lltables	
llatbl_lle_show	
lltables_show_all_del	
lltables_show_all_add	
lltable_show_del	
lltable_show_add	
llentry_show_del	
llentry_show_add	
vnet_lltable_init	
ifmedia_init	
ifmedia_removeall	
ifmedia_add	
ifmedia_list_add	
ifmedia_set	
ifmedia_ioctl	
ifmedia_baudrate	
sysctl_ifdata	
vlan_clone_match	
vlan_clone_create	
vlan_clone_destroy	
vlan_clone_create_for_driver	
vlan_clone_match_ethertag	
vlan_init	
vlan_start	
vlan_ioctl	
vlan_config	
vlan_setmulti	
vlan_unconfig_locked	
vlan_growhash	
vlan_capabilities	
vlan_modevent	
vlan_ifdetach	
vlan_iflladdr	
vlan_input	
vlan_link_state	
vlan_trunk_capabilities	
vlan_trunkdev	
vlan_cookie	
vlan_setcookie	
vlan_tag	
vlan_devat	
netisr_get_cpucount	
netisr_get_cpuid	
netisr_default_flow2cpu	
netisr_register	
netisr_clearqdrops	
netisr_getqdrops	
netisr_getqlimit	
netisr_setqlimit	
netisr_unregister	
netisr_queue_src	
netisr_select_cpuid	
netisr_queue_internal	
netisr_queue	
netisr_dispatch_src	
netisr_queue_workstream	
netisr_dispatch	
db_show_netisr	
netisr_show_del	
netisr_show_add	
sysctl_netisr_work	
sysctl_netisr_workstream	
sysctl_netisr_proto	
netisr_start	
netisr_start_swi	
swi_net	
netisr_init	
sysctl_netisr_dispatch_policy	
pfil_run_hooks	
pfil_head_register	
pfil_head_unregister	
pfil_head_get	
pfil_add_hook	
pfil_remove_hook	
vnet_pfil_uninit	
vnet_pfil_init	
rn_refines	
rn_lookup	
rn_addmask	
rn_match	
rn_insert	
rn_addroute	
rn_new_radix_mask	
rn_delete	
rn_inithead	
rn_walktree	
rn_walktree_from	
rn_detachhead	
rn_init	
rn_mpath_capable	
rn_mpath_next	
rn_mpath_count	
rt_mpath_matchgate	
rt_mpath_deldup	
rt_mpath_conflict	
rtalloc_mpath_fib	
rn4_mpath_inithead	
rn6_mpath_inithead	
raw_attach	
raw_detach	
raw_init	
raw_input	
raw_input_ext	
raw_ctlinput	
raw_uabort	
raw_uattach	
raw_ubind	
raw_uconnect	
raw_udetach	
raw_udisconnect	
raw_upeeraddr	
raw_usend	
raw_ushutdown	
raw_usockaddr	
raw_uclose	
rt_tables_get_rnh	
sys_setfib	
rtalloc	
rtalloc_ign_fib	
rtalloc_fib	
rtalloc_ign	
rtfree	
rtalloc1_fib	
rtalloc1	
rtredirect	
rtredirect_fib	
rtrequest1_fib	
rt_setgate	
rtioctl	
rtioctl_fib	
ifa_ifwithroute	
ifa_ifwithroute_fib	
rtrequest	
rtrequest_fib	
rt_getifa	
rt_getifa_fib	
rtexpunge	
rt_maskedcopy	
rtinit_fib	
rtinit1	
rtinit	
vnet_route_init	
route_init	
sysctl_my_fibnum	
rts_input	
rts_abort	
rts_attach	
rts_bind	
rts_connect	
rts_detach	
rts_disconnect	
rts_peeraddr	
rts_send	
rts_shutdown	
rts_sockaddr	
rts_close	
rt_missmsg_fib	
rt_msg1	
rt_missmsg	
rt_ifmsg	
rt_newaddrmsg_fib	
rt_newaddrmsg	
rt_newmaddrmsg	
rt_ieee80211msg	
rt_ifannouncemsg	
route_output	
rt_msg2	
sysctl_rtsock	
sysctl_dumpentry	
raw_input_rts_cb	
rts_init	
sysctl_route_netisr_maxqlen	
arpintr	
arp_ifscrub	
arprequest	
arpresolve	
arptimer	
arp_ifinit	
arp_ifinit2	
arp_init	
igmp_intr	
igmp_domifattach	
igmp_ifdetach	
igmp_domifdetach	
igmp_input	
igmp_fasttimo	
igmp_slowtimo	
igmp_change_state	
igmp_modevent	
vnet_igmp_uninit	
vnet_igmp_init	
igmp_uninit	
igmp_init	
igmp_v1v2_queue_report	
igmp_v3_enqueue_group_record	
igmp_v3_cancel_link_timers	
sysctl_igmp_ifinfo	
sysctl_igmp_gsr	
sysctl_igmp_default_version	
in_localaddr	
in_localip	
in_canforward	
in_control	
in_lifaddr_ioctl	
in_ifinit	
in_ifscrub	
in_broadcast	
in_ifdetach	
in_domifattach	
in_lltable_free	
in_lltable_prefix_free	
in_lltable_lookup	
in_lltable_dump	
in_domifdetach	
in_addralias_rtmsg	
db_show_sin	
db_show_in_ifaddr	
in_ifaddr_show_del	
in_ifaddr_show_add	
sin_show_del	
sin_show_add	
ip_randomid	
ip_initid	
sysctl_ip_id_change	
imo_multi_filter	
inm_release_locked	
inm_clear_recorded	
ip_msource_tree_RB_MINMAX	
ip_msource_tree_RB_NEXT	
inm_record_source	
ip_msource_tree_RB_FIND	
ip_msource_tree_RB_INSERT	
inm_commit	
inm_print	
in_joingroup	
in_joingroup_locked	
inm_merge	
in_leavegroup	
in_leavegroup_locked	
in_addmulti	
in_delmulti	
inp_freemoptions	
inp_getmoptions	
inp_setmoptions	
inp_findmoptions	
ip_msource_tree_RB_INSERT_COLOR	
ip_msource_tree_RB_REMOVE_COLOR	
ip_msource_tree_RB_REMOVE_COLOR_0	
ip_msource_tree_RB_REMOVE	
ip_msource_tree_RB_NFIND	
ip_msource_tree_RB_PREV	
imf_rollback	
sysctl_ip_mcast_filters	
in_pcbinfo_init	
in_pcbinfo_destroy	
in_pcballoc	
in_pcbbind	
in_pcbbind_setup	
in_pcbinshash	
in_pcb_lport	
in_pcblookup_local	
in_pcbconnect_mbuf	
in_pcbconnect_setup	
in_pcbrehash_mbuf	
in_pcbconnect	
in_pcbladdr	
in_pcblookup_hash_locked	
in_pcbdisconnect	
in_pcbrehash	
in_pcbdetach	
in_pcbref	
in_pcbrele_rlocked	
in_pcbrele_wlocked	
in_pcbrele	
in_pcbfree	
in_pcbdrop	
in_sockaddr	
in_getsockaddr	
in_getpeeraddr	
in_pcbnotifyall	
in_pcbpurgeif0	
in_pcblookup	
in_pcblookup_hash	
in_pcblookup_mbuf	
in_pcblookup_mbuf_ex	
in_pcbinshash_internal	
in_pcbinshash_nopcbgroup	
in_pcbsosetlabel	
inp_wlock	
inp_wunlock	
inp_rlock	
inp_runlock	
inp_apply_all	
inp_inpcbtosocket	
inp_inpcbtotcpcb	
inp_ip_tos_get	
inp_ip_tos_set	
inp_4tuple_get	
so_sotoinpcb	
so_sototcpcb	
db_show_inpcb	
inpcb_show_del	
inpcb_show_add	
ipport_tick_init	
ipport_tick	
ip_fini	
sysctl_net_ipport_check	
in_rtqdrain	
in_rtqkill	
in_inithead	
in_addroute	
in_matroute	
in_clsroute	
in_rtqtimo	
in_ifadown	
in_ifadownkill	
in_rtalloc_ign	
in_rtrequest	
in_rtalloc1	
in_rtredirect	
in_rtalloc	
ip_ecn_ingress	
ip_ecn_egress	
ip6_ecn_ingress	
ip6_ecn_egress	
ip_fastforward	
ip_findroute	
kmod_icmpstat_inc	
icmp_error	
icmp_reflect	
icmp_input	
badport_bandlim	
iptime	
ip_next_mtu	
ip_input	
kmod_ipstat_inc	
kmod_ipstat_dec	
ip_init	
ipq_zone_change	
ip_forward	
ip_reass	
ip_slowtimo	
ip_drain	
ipproto_register	
ipproto_unregister	
ip_rtaddr	
ip_savecontrol	
ip_rsvp_init	
ip_rsvp_done	
rsvp_input	
sysctl_maxnipq	
sysctl_netinet_intr_queue_drops	
sysctl_netinet_intr_queue_maxlen	
ip_dooptions	
ip_srcroute	
ip_stripoptions	
ip_insertoptions	
ip_optcopy	
ip_pcbopts	
ip_checkrouteralert	
ip_output	
in_delayed_cksum	
ip_fragment	
ip_ctloutput	
rip_init	
rip_inpcb_init	
rip_zone_change	
rip_input	
rip_append	
rip_output	
rip_ctloutput	
rip_ctlinput	
rip_abort	
rip_attach	
rip_bind	
rip_connect	
rip_detach	
rip_disconnect	
rip_send	
rip_shutdown	
rip_close	
rip_dodisconnect	
rip_pcblist	
cc_deregister_algo	
cc_register_algo	
cc_modevent	
cc_list_available	
cc_default_algo	
cc_init	
newreno_ack_received	
newreno_cong_signal	
newreno_post_recovery	
newreno_after_idle	
tcp_hc_init	
tcp_hc_purge	
tcp_hc_get	
tcp_hc_lookup	
tcp_hc_getmtu	
tcp_hc_updatemtu	
tcp_hc_insert	
tcp_hc_update	
sysctl_tcp_hc_list	
kmod_tcpstat_inc	
cc_cong_signal	
tcp6_input	
tcp_input	
tcp_input_internal	
tcp_tunnel_input	
tcp_mss_update	
tcp_mss	
tcp_mssopt	
tcp_dooptions	
tcp_do_segment	
tcp_dropwithreset	
tcp_xmit_timer	
cc_conn_init	
tcp_lro_init	
tcp_lro_free	
tcp_lro_flush	
tcp_lro_rx	
tcp_output	
tcp_setpersist	
tcp_addoptions	
tcp_offload_connect	
tcp_offload_twstart	
tcp_offload_close	
tcp_offload_drop	
tcp_reass_init	
tcp_reass_zone_change	
tcp_reass_flush	
tcp_reass	
tcp_reass_sysctl_qsize	
tcp_reass_sysctl_maxseg	
tcp_update_sack_list	
tcp_clean_sackreport	
tcp_sack_doack	
tcp_free_sackholes	
tcp_sack_partialack	
tcp_sack_output	
tcp_sack_adjust	
tcp_init	
tcp_inpcb_init	
tcp_fini	
tcp_zone_change	
tcpip_fillheaders	
tcpip_maketemplate	
tcp_respond	
tcp_newtcpcb	
tcp_ccalgounload	
tcp_drop	
tcp_close	
tcp_p2ptunnel_drop	
tcp_discardcb	
tcp_drain	
tcp_ctlinput	
tcp_notify	
tcp_mtudisc	
tcp_drop_syn_sent	
tcp_maxmtu	
tcp6_ctlinput	
tcp_new_isn	
tcp_maxmtu6	
tcp_log_vain	
tcp_log_addr	
tcp_log_addrs	
sysctl_drop	
tcp6_getcred	
tcp_getcred	
tcp_pcblist	
sysctl_net_inet_tcp_mss_v6_check	
sysctl_net_inet_tcp_mss_check	
syncache_init	
syncache_lookup	
syncache_chkrst	
syncache_badack	
syncache_unreach	
syncache_expand	
tcp_offload_syncache_expand	
syncache_add	
syncache_pcbcount	
syncache_pcblist	
syncache_respond	
syncache_timer	
tcp_slowtimo	
tcp_timer_delack	
tcp_timer_2msl	
tcp_timer_keep	
tcp_timer_persist	
tcp_timer_rexmt	
tcp_timer_activate	
tcp_timer_active	
tcp_timer_to_xtimer	
tcp_tw_zone_change	
tcp_tw_init	
tcp_twstart	
tcp_tw_2msl_scan	
tcp_twrespond	
tcp_twcheck	
tcp_twclose	
sysctl_maxtcptw	
tcp_usr_abort	
tcp_usr_accept	
tcp_usr_attach	
tcp_usr_bind	
tcp_usr_connect	
tcp_usr_detach	
tcp_usr_disconnect	
tcp_usr_listen	
tcp_getpeeraddr	
tcp_usr_rcvd	
tcp_usr_rcvoob	
tcp_usr_send	
tcp_usr_shutdown	
tcp_getsockaddr	
tcp_usr_close	
tcp6_usr_accept	
tcp6_usr_bind	
tcp6_usr_connect	
tcp6_usr_listen	
tcp_ctloutput	
db_show_tcpcb	
tcpcb_show_del	
tcpcb_show_add	
tcp_connect	
tcp6_connect	
tcp_disconnect	
tcp_usrclosed	
udp_init	
udp_inpcb_init	
udp_zone_change	
kmod_udpstat_inc	
udp_newudpcb	
udp_discardcb	
udp_input	
udp_append	
udp_notify	
udp_ctlinput	
udp_ctloutput	
udp_set_kernel_tunneling	
udp_shutdown	
udp_abort	
udp_attach	
udp_bind	
udp_connect	
udp_detach	
udp_disconnect	
udp_send	
udp_close	
udp_getcred	
udp_pcblist	
dest6_input	
frag6_init	
frag6_change	
frag6_input	
frag6_slowtimo	
frag6_freef	
frag6_drain	
kmod_icmp6stat_inc	
icmp6_error2	
icmp6_error	
icmp6_errcount	
icmp6_reflect	
icmp6_input	
icmp6_redirect_input	
icmp6_mtudisc_update	
icmp6_fasttimo	
icmp6_slowtimo	
icmp6_redirect_output	
icmp6_ctloutput	
ni6_nametodns	
in6_ifaddloop	
in6_ifremloop	
in6_mask2len	
in6_control	
in6_lifaddr_ioctl	
in6ifa_ifpwithaddr	
in6_update_ifa	
in6_purgeaddr	
ip6_sprintf	
in6if_do_dad	
in6_unlink_ifa	
in6_purgeif	
in6ifa_ifpforlinklocal	
in6_localaddr	
in6_localip	
in6_is_addr_deprecated	
in6_matchlen	
in6_are_prefix_equal	
in6_prefixlen2mask	
in6_ifawithifp	
in6_if_up	
in6_setmaxmtu	
in6_if2idlen	
in6_domifattach	
in6_lltable_free	
in6_lltable_prefix_free	
in6_lltable_lookup	
in6_lltable_dump	
in6_domifdetach	
in6_sin6_2_sin	
in6_sin_2_v4mapsin6	
in6_sin6_2_sin_in_sock	
in6_sin_2_v4mapsin6_in_sock	
in6_cksum	
in6_get_hw_ifid	
in6_nigroup	
in6_ifattach	
in6_ifdetach	
in6_get_tmpifid	
generate_tmp_ifid	
in6_tmpaddrtimer	
ip6_msource_tree_RB_INSERT_COLOR	
ip6_msource_tree_RB_REMOVE_COLOR	
ip6_msource_tree_RB_REMOVE_COLOR_0	
ip6_msource_tree_RB_REMOVE	
ip6_msource_tree_RB_INSERT	
ip6_msource_tree_RB_FIND	
ip6_msource_tree_RB_NFIND	
ip6_msource_tree_RB_NEXT	
ip6_msource_tree_RB_PREV	
ip6_msource_tree_RB_MINMAX	
im6o_mc_filter	
in6m_release_locked	
in6m_clear_recorded	
in6m_record_source	
in6m_commit	
in6m_print	
in6_joingroup	
in6_mc_join	
in6_leavegroup	
in6_mc_leave	
in6_mc_join_locked	
in6m_merge	
in6_mc_leave_locked	
ip6_freemoptions	
ip6_getmoptions	
ip6_setmoptions	
in6p_findmoptions	
im6f_rollback	
in6p_lookup_mcast_ifp	
sysctl_ip6_mcast_filters	
in6_pcbbind	
in6_pcblookup_local	
in6_pcbladdr	
in6_pcbconnect_mbuf	
in6_pcblookup_hash_locked	
in6_pcbconnect	
in6_pcbdisconnect	
in6_sockaddr	
in6_v4mapsin6_sockaddr	
in6_getsockaddr	
in6_getpeeraddr	
in6_mapped_sockaddr	
in6_mapped_peeraddr	
in6_pcbnotify	
in6_rtchange	
in6_pcbpurgeif0	
in6_losing	
in6_pcblookup	
in6_pcblookup_hash	
in6_pcblookup_mbuf	
init_sin6	
sysctl_ip6_tempvltime	
sysctl_ip6_temppltime	
in6_inithead	
in6_addroute	
in6_matroute	
in6_rtqtimo	
in6_mtutimo	
in6_mtuexpire	
in6_rtqkill	
in6_selectsrc	
in6_selectif	
lookup_addrsel_policy	
in6_selectroute	
selectroute	
in6_selecthlim	
in6_pcbsetport	
addrsel_policy_init	
in6_src_ioctl	
in6_src_sysctl	
ip6_forward	
ip6_randomid	
randomid	
ip6_randomflowlabel	
initid	
ip6_input	
ip6_init	
ip6proto_register	
ip6proto_unregister	
ip6_delaux	
ip6_getdstifaddr	
ip6_findaux	
ip6_process_hopopts	
ip6_unknown_opt	
ip6_savecontrol_v4	
ip6_savecontrol	
ip6_notify_pmtu	
ip6_get_prevhdr	
ip6_nexthdr	
ip6_lasthdr	
ip6_addaux	
ip6_init2	
ip6_output	
ip6_copyexthdr	
ip6_splithdr	
ip6_mloopback	
ip6_getpmtu	
ip6_ctloutput	
ip6_raw_ctloutput	
ip6_initpktopts	
ip6_clearpktopts	
ip6_copypktopts	
copypktopts	
ip6_freepcbopts	
ip6_setpktopts	
ip6_setpktopt	
ip6_optlen	
mld_domifattach	
mld_ifdetach	
mld_domifdetach	
mld_input	
mld_fasttimo	
mld_slowtimo	
mld_change_state	
mld_modevent	
vnet_mld_uninit	
vnet_mld_init	
mld_uninit	
mld_init	
mld_v1_transmit_report	
mld_v2_enqueue_group_record	
mld_dispatch_packet	
mld_set_version	
sysctl_mld_ifinfo	
sysctl_mld_gsr	
nd6_init	
nd6_slowtimo	
nd6_ifattach	
nd6_setmtu0	
nd6_ifdetach	
nd6_setmtu	
nd6_option_init	
nd6_option	
nd6_options	
nd6_llinfo_settimer_locked	
nd6_llinfo_timer	
nd6_llinfo_settimer	
nd6_timer	
regen_tmpaddr	
nd6_purge	
nd6_lookup	
nd6_is_addr_neighbor	
nd6_nud_hint	
nd6_ioctl	
nd6_cache_lladdr	
nd6_output_lle	
nd6_output_flush	
nd6_output	
nd6_need_cache	
nd6_storelladdr	
nd6_sysctl_prlist	
nd6_sysctl_drlist	
nd6_free	
nd6_ns_input	
nd6_na_output	
nd6_ns_output	
nd6_ifptomac	
nd6_na_input	
nd6_dad_start	
nd6_dad_stop	
nd6_dad_duplicated	
nd6_dad_timer	
nd6_rs_input	
nd6_ra_input	
pfxlist_onlink_check	
defrouter_addreq	
nd6_rtmsg	
defrouter_lookup	
defrouter_reset	
defrouter_delreq	
defrtrlist_del	
rt6_flush	
defrouter_select	
nd6_prefix_lookup	
nd6_prelist_add	
nd6_prefix_onlink	
prelist_remove	
nd6_prefix_offlink	
find_pfxlist_reachable_router	
in6_tmpifadd	
rt6_deleteroute	
nd6_setdefaultiface	
rip6_input	
rip6_ctlinput	
rip6_output	
rip6_ctloutput	
rip6_abort	
rip6_attach	
rip6_bind	
rip6_connect	
rip6_detach	
rip6_disconnect	
rip6_send	
rip6_shutdown	
rip6_close	
route6_input	
scope6_init	
scope6_ifattach	
scope6_ifdetach	
scope6_set	
scope6_get	
in6_addrscope	
scope6_setdefault	
scope6_get_default	
scope6_addr2default	
sa6_embedscope	
sa6_recoverscope	
in6_setscope	
in6_clearscope	
udp6_input	
udp6_append	
udp6_ctlinput	
udp6_abort	
udp6_attach	
udp6_bind	
udp6_connect	
udp6_detach	
udp6_disconnect	
udp6_send	
udp6_close	
udp6_getcred	
audit_set_kinfo	
audit_get_kinfo	
audit_shutdown	
currecord	
audit_new	
audit_free	
audit_commit	
audit_syscall_enter	
audit_syscall_exit	
audit_cred_copy	
audit_cred_destroy	
audit_cred_init	
audit_cred_kproc0	
audit_cred_proc1	
audit_thread_alloc	
audit_thread_free	
audit_proc_coredump	
audit_init	
audit_record_ctor	
audit_record_dtor	
audit_arg_addr	
audit_arg_exit	
audit_arg_len	
audit_arg_atfd1	
audit_arg_atfd2	
audit_arg_fd	
audit_arg_fflags	
audit_arg_gid	
audit_arg_uid	
audit_arg_egid	
audit_arg_euid	
audit_arg_rgid	
audit_arg_ruid	
audit_arg_sgid	
audit_arg_suid	
audit_arg_groupset	
audit_arg_login	
audit_arg_ctlname	
audit_arg_mask	
audit_arg_mode	
audit_arg_dev	
audit_arg_value	
audit_arg_owner	
audit_arg_pid	
audit_arg_process	
audit_arg_signum	
audit_arg_socket	
audit_arg_sockaddr	
audit_arg_upath1	
audit_arg_auid	
audit_arg_auditinfo	
audit_arg_auditinfo_addr	
audit_arg_text	
audit_arg_cmd	
audit_arg_svipc_cmd	
audit_arg_svipc_perm	
audit_arg_svipc_id	
audit_arg_svipc_addr	
audit_arg_posix_ipc_perm	
audit_arg_auditon	
audit_arg_file	
audit_arg_vnode1	
audit_arg_upath2	
audit_arg_vnode2	
audit_arg_argv	
audit_arg_envv	
audit_arg_rights	
audit_sysclose	
kau_init	
kau_free	
kaudit_to_bsm	
bsm_rec_verify	
au_domain_to_bsm	
au_bsm_to_domain	
au_errno_to_bsm	
au_bsm_to_errno	
au_fcntl_cmd_to_bsm	
au_bsm_to_fcntl_cmd	
au_event_class	
au_evclassmap_insert	
au_evclassmap_init	
au_preselect	
audit_ctlname_to_sysctlevent	
audit_flags_and_error_to_openevent	
audit_flags_and_error_to_openatevent	
audit_msgctl_to_event	
audit_semctl_to_event	
auditon_command_event	
audit_canon_path	
au_socket_type_to_bsm	
au_bsm_to_socket_type	
au_to_arg32	
au_to_arg64	
au_to_arg	
au_to_attr32	
au_to_attr64	
au_to_attr	
au_to_data	
au_to_exit	
au_to_groups	
au_to_newgroups	
au_to_in_addr	
au_to_in_addr_ex	
au_to_ip	
au_to_ipc	
au_to_ipc_perm	
au_to_iport	
au_to_opaque	
au_to_file	
au_to_text	
au_to_path	
au_to_process32	
au_to_process64	
au_to_process	
au_to_process32_ex	
au_to_process64_ex	
au_to_process_ex	
au_to_return32	
au_to_return64	
au_to_return	
au_to_seq	
au_to_socket_ex	
kau_to_socket	
au_to_sock_unix	
au_to_sock_inet32	
au_to_sock_inet128	
au_to_sock_inet	
au_to_subject32	
au_to_subject64	
au_to_subject	
au_to_subject32_ex	
au_to_subject64_ex	
au_to_subject_ex	
au_to_exec_args	
au_to_exec_strings	
au_to_exec_env	
au_to_zonename	
au_to_header32_tm	
au_to_header32_ex_tm	
au_to_header64_tm	
au_to_trailer	
audit_pipe_open	
audit_pipe_close	
audit_pipe_read	
audit_pipe_ioctl	
audit_pipe_poll	
audit_pipe_kqfilter	
audit_pipe_kqdetach	
audit_pipe_kqread	
audit_pipe_preselect	
audit_pipe_preselect_check	
audit_pipe_submit	
audit_pipe_append	
audit_pipe_submit_user	
audit_pipe_init	
audit_pipe_clone	
sys_audit	
sys_auditon	
sys_getauid	
sys_setauid	
sys_getaudit	
sys_setaudit	
sys_getaudit_addr	
sys_setaudit_addr	
sys_auditctl	
audit_send_trigger	
audit_open	
audit_close	
audit_read	
audit_write	
audit_trigger_init	
audit_trigger_cdev_init	
audit_rotate_vnode	
audit_worker_init	
audit_worker	
audit_record_write	
sys___mac_get_pid	
sys___mac_get_proc	
sys___mac_set_proc	
sys___mac_get_fd	
sys___mac_get_file	
sys___mac_get_link	
sys___mac_set_fd	
sys___mac_set_file	
sys___mac_set_link	
sys_mac_syscall	
ffs_alloc	
ffs_alloccg	
ffs_fserr	
ffs_realloccg	
ffs_blkfree	
ffs_reallocblks	
ffs_valloc	
ffs_nodealloccg	
ffs_vfree	
ffs_blkpref_ufs1	
ffs_blkpref_ufs2	
ffs_blkfree_cg	
ffs_blkfree_trim_completed	
ffs_freefile	
ffs_checkfreefile	
sysctl_ffs_fsck	
buffered_write	
ffs_blkfree_trim_task	
taskqueue_define_ffs_trim	
ffs_alloccgblk	
ffs_mapsearch	
ffs_clusteralloc	
ffs_balloc_ufs1	
ffs_balloc_ufs2	
ffs_update	
ffs_truncate	
ffs_indirtrunc	
ffs_rdonly	
ffs_snapshot	
cgaccount	
expunge_ufs1	
fullacct_ufs1	
expunge_ufs2	
fullacct_ufs2	
ffs_snapdata_acquire	
snapacct_ufs1	
snapacct_ufs2	
mapacct_ufs1	
mapacct_ufs2	
process_deferred_inactive	
ffs_snapgone	
ffs_snapremove	
try_free_snapdata	
ffs_snapblkfree	
ffs_snapshot_mount	
ffs_snapshot_unmount	
ffs_bdflush	
ffs_copyonwrite	
ffs_sync_snap	
ffs_snapdata_free	
indiracct_ufs2	
indiracct_ufs1	
softdep_process_worklist	
softdep_process_journal	
process_worklist_item	
clear_inodedeps	
clear_remove	
journal_unsuspend	
softdep_move_dependencies	
softdep_flushworklist	
softdep_waitidle	
softdep_flushfiles	
softdep_initialize	
softdep_disk_io_initiation	
softdep_disk_write_complete	
softdep_deallocate_dependencies	
softdep_count_dependencies	
softdep_uninitialize	
softdep_mount	
softdep_unmount	
softdep_journal_lookup	
softdep_prealloc	
process_removes	
process_truncates	
journal_suspend	
softdep_setup_create	
softdep_prelink	
softdep_setup_dotdot_link	
softdep_setup_link	
softdep_setup_mkdir	
softdep_setup_rmdir	
softdep_setup_unlink	
softdep_revert_create	
cancel_jaddref	
softdep_revert_dotdot_link	
softdep_revert_link	
softdep_revert_mkdir	
softdep_revert_rmdir	
softdep_setup_inomapdep	
inodedep_lookup	
bmsafemap_lookup	
softdep_setup_blkmapdep	
workitem_alloc	
newblk_lookup	
softdep_setup_allocdirect	
newfreefrag	
pagedep_lookup	
add_to_journal	
worklist_insert	
allocdirect_merge	
softdep_setup_allocext	
softdep_setup_allocindir_page	
newallocindir	
setup_allocindir_phase2	
handle_workitem_freefrag	
softdep_setup_allocindir_meta	
blkcount	
softdep_journal_freeblocks	
setup_trunc_indir	
newfreework	
newjtrunc	
softdep_update_inodeblock	
cancel_allocdirect	
trunc_dependencies	
handle_workitem_freeblocks	
trunc_pages	
softdep_journal_fsync	
jwait	
softdep_setup_freeblocks	
merge_inode_lists	
free_inodedep	
softdep_freefile	
handle_bufwait	
check_inode_unwritten	
handle_workitem_freefile	
softdep_setup_directory_add	
setup_newdir	
merge_diradd	
workitem_free	
softdep_change_directoryentry_offset	
softdep_setup_remove	
newdirrem	
handle_workitem_remove	
softdep_setup_directory_change	
add_to_worklist	
softdep_change_linkcnt	
softdep_setup_sbupdate	
softdep_setup_inofree	
free_jaddref	
jwork_move	
softdep_setup_blkfree	
softdep_load_inodeblock	
handle_allocdirect_partdone	
getdirtybuf	
softdep_fsync	
softdep_fsync_mountdev	
softdep_sync_metadata	
softdep_flushjournal	
softdep_sync_buf	
softdep_slowdown	
softdep_request_cleanup	
request_cleanup	
softdep_buf_append	
softdep_inode_append	
softdep_freework	
handle_jwork	
softdep_check_suspend	
softdep_get_depcounts	
db_show_inodedep	
db_show_inodedeps	
db_show_worklist	
db_show_workhead	
db_show_mkdirs	
mkdirs_show_del	
mkdirs_show_add	
workhead_show_del	
workhead_show_add	
worklist_show_del	
worklist_show_add	
inodedep_print	
inodedeps_show_del	
inodedeps_show_add	
inodedep_show_del	
inodedep_show_add	
free_jsegdep	
handle_written_freework	
freework_enqueue	
free_jseg	
indirblk_remove	
pause_timer	
flush_newblk_dep	
flush_deplist	
free_newblk	
free_newdirblk	
indirdep_complete	
free_diradd	
free_pagedep	
complete_mkdir	
handle_allocindir_partdone	
handle_written_jseg	
handle_written_sbdep	
first_unlinked_inodedep	
complete_jseg	
handle_written_jblkdep	
jwork_insert	
free_jfreefrag	
remove_from_journal	
jnewblk_rollforward	
jnewblk_rollback	
cancel_mkdir_dotdot	
cancel_diradd_dotdot	
dirrem_journal	
cancel_diradd	
journal_jremref	
handle_workitem_indirblk	
freework_freeblock	
complete_trunc_indir	
indirblk_insert	
cancel_jnewblk	
freeblks_free	
indir_trunc	
cancel_newblk	
cancel_pagedep	
cancel_allocindir	
indirdep_lookup	
trunc_indirdep	
allocindir_merge	
jnewblk_merge	
remove_from_worklist	
jblocks_add	
softdep_flush	
ffs_blkatoff	
ffs_load_inode	
ffs_fragacct	
ffs_checkoverlap	
ffs_isblock	
ffs_isfreeblock	
ffs_clrblock	
ffs_setblock	
ffs_clusteracct	
ffs_mount	
ffs_cmount	
ffs_unmount	
ffs_statfs	
ffs_sync	
ffs_vget	
ffs_fhtovp	
ffs_init	
ffs_uninit	
ffs_extattrctl	
ffs_oldfscompat_write	
ffs_flushfiles	
ffs_vgetf	
ffs_sbupdate	
db_show_ffs	
ffs_show_del	
ffs_show_add	
ffs_ifree	
ffs_oldfscompat_read	
ffs_bufwrite	
ffs_geom_strategy	
ffs_backgroundwritedone	
ffs_read	
ffs_write	
ffs_fsync	
ffs_lock	
ffs_getpages	
ffs_vptofh	
ffs_closeextattr	
ffs_getextattr	
ffs_listextattr	
ffs_openextattr	
ffs_deleteextattr	
ffs_setextattr	
ffsext_strategy	
ffs_syncvnode	
ffs_open_ea	
ffs_findextattr	
ffs_close_ea	
ffs_lock_ea	
ffs_unlock_ea	
ufs_sync_acl_from_inode	
ufs_sync_inode_from_acl	
ufs_getacl_nfs4_internal	
ufs_getacl	
ufs_setacl_nfs4_internal	
ufs_setacl	
ufs_aclcheck	
ufs_bmap	
ufs_bmaparray	
ufs_getlbns	
ufs_inactive	
ufs_prepare_reclaim	
ufs_reclaim	
ufs_lookup	
ufs_lookup_ino	
ufs_dirbadentry	
ufs_dirbad	
ufs_delete_denied	
ufs_makedirentry	
ufs_direnter	
ufs_dirremove	
ufs_dirrewrite	
ufs_dirempty	
ufs_checkpath	
getinoquota	
dqget	
chkdq	
chkiq	
quotaon	
quotaoff1	
dqrele	
quotaoff_inchange	
quotaoff	
getquota32	
_getquota	
setquota32	
_setquota	
setuse32	
_setuse	
getquota	
setquota	
setuse	
getquotasize	
qsync	
dqsync	
dqinit	
dquninit	
quotaref	
quotarele	
quotaadj	
ufs_root	
ufs_quotactl	
ufs_init	
ufs_uninit	
ufs_fhtovp	
ufs_itimes	
ufs_itimes_locked	
ufs_readdir	
ufs_vinit	
ufs_create	
ufs_whiteout	
ufs_mknod	
ufs_open	
ufs_close	
ufs_accessx	
ufs_getattr	
ufs_setattr	
ufs_markatime	
ufs_remove	
ufs_link	
ufs_rename	
ufs_mkdir	
ufs_rmdir	
ufs_symlink	
ufs_readlink	
ufs_strategy	
ufs_print	
ufs_pathconf	
ufsfifo_close	
ufsfifo_kqfilter	
ufsfifo_pathconf	
ufs_makeinode	
ufs_do_nfs4_acl_inheritance	
default_pager_alloc	
default_pager_dealloc	
default_pager_getpages	
default_pager_putpages	
default_pager_haspage	
dev_pager_init	
dev_pager_alloc	
dev_pager_dealloc	
dev_pager_getpages	
dev_pager_putpages	
dev_pager_haspage	
phys_pager_init	
phys_pager_alloc	
phys_pager_dealloc	
phys_pager_getpages	
phys_pager_putpages	
phys_pager_haspage	
sg_pager_alloc	
sg_pager_dealloc	
sg_pager_getpages	
sg_pager_putpages	
sg_pager_haspage	
swap_reserve	
swap_reserve_by_cred	
swap_reserve_force	
swap_release	
swap_release_by_cred	
swap_pager_init	
swap_pager_alloc	
swap_pager_dealloc	
swap_pager_getpages	
swap_pager_putpages	
swap_pager_haspage	
swap_pager_unswapped	
swap_pager_swap_init	
swap_pager_freespace	
swp_pager_meta_free	
swap_pager_reserve	
swp_pager_getswapspace	
swp_pager_meta_build	
swap_pager_copy	
swp_pager_meta_ctl	
swp_pager_meta_free_all	
swap_pager_isswapped	
sys_swapon	
sys_swapoff	
swapoff_one	
swapoff_all	
swap_pager_status	
swap_dev_info	
vmspace_swap_count	
swapgeom_orphan	
swaponsomething	
swapdev_strategy	
swapdev_close	
swapongeom_ev	
swapgeom_strategy	
swapgeom_close	
swapgeom_close_ev	
swapgeom_done	
sysctl_vm_swap_info	
swp_pager_freeswapspace	
swp_pager_async_iodone	
swp_pager_strategy	
swp_pager_free_nrpage	
zone_drain	
zone_drain_wait	
uma_startup	
keg_ctor	
keg_dtor	
zero_init	
zone_ctor	
zone_dtor	
uma_zcreate	
uma_startup2	
uma_set_align	
zone_alloc_item	
uma_zsecond_create	
uma_zsecond_add	
zone_fetch_slab_multi	
uma_zdestroy	
zone_free_item	
uma_zalloc_arg	
uma_zfree_arg	
uma_zone_set_max	
uma_zone_get_max	
uma_zone_get_cur	
uma_zone_set_init	
uma_zone_set_fini	
uma_zone_set_zinit	
uma_zone_set_zfini	
uma_zone_set_freef	
uma_zone_set_allocf	
uma_zone_set_obj	
obj_alloc	
uma_prealloc	
keg_alloc_slab	
uma_find_refcnt	
uma_reclaim	
zone_foreach	
uma_zone_exhausted	
uma_zone_exhausted_nolock	
uma_large_malloc	
page_alloc	
uma_large_free	
page_free	
uma_print_stats	
uma_print_zone	
db_show_uma	
uma_show_del	
uma_show_add	
slab_alloc_item	
keg_fetch_slab	
bucket_cache_drain	
zone_fetch_slab	
startup_alloc	
sysctl_vm_zone_stats	
sysctl_vm_zone_count	
uma_startup3	
uma_timeout	
zone_timeout	
trash_ctor	
trash_dtor	
trash_init	
trash_fini	
mtrash_ctor	
mtrash_dtor	
mtrash_init	
mtrash_fini	
uma_dbg_alloc	
uma_dbg_getslab	
uma_dbg_free	
vm_contig_grow_cache	
vm_contig_launder	
kmem_alloc_attr	
contigmalloc	
kmem_alloc_contig	
contigfree	
vm_fault	
vm_fault_hold	
unlock_and_deallocate	
release_page	
vm_fault_quick_hold_pages	
vm_fault_wire	
vm_fault_unwire	
vm_fault_copy_entry	
vm_fault_disable_pagefaults	
vm_fault_enable_pagefaults	
kernacc	
useracc	
vslock	
vsunlock	
vm_imgact_map_page	
vm_imgact_unmap_page	
vm_sync_icache	
vm_thread_new	
vm_thread_dispose	
vm_thread_stack_dispose	
vm_forkproc	
vm_waitproc	
faultin	
swapclear	
kick_proc0	
swapout_procs	
kstack_cache_init	
vm_thread_stack_lowmem	
scheduler	
vm_ksubmap_init	
vm_mem_init	
kmem_alloc_nofault	
kmem_alloc_nofault_space	
kmem_alloc	
kmem_free	
kmem_suballoc	
kmem_malloc	
kmem_back	
kmem_alloc_wait	
kmem_free_wakeup	
kmem_init	
vm_map_startup	
vm_map_zinit	
vm_map_zfini	
vmspace_alloc	
vm_init2	
vmspace_zinit	
vmspace_zfini	
vmspace_free	
vmspace_exitfree	
vmspace_exit	
vmspace_acquire_ref	
_vm_map_lock	
_vm_map_unlock	
_vm_map_lock_read	
_vm_map_unlock_read	
_vm_map_trylock	
_vm_map_trylock_read	
_vm_map_lock_upgrade	
_vm_map_lock_downgrade	
vm_map_locked	
_vm_map_unlock_and_wait	
vm_map_wakeup	
vm_map_busy	
vm_map_unbusy	
vm_map_wait_busy	
vmspace_resident_count	
vmspace_wired_count	
vm_map_create	
vm_map_init	
vm_map_lookup_entry	
vm_map_entry_splay	
vm_map_insert	
vm_map_simplify_entry	
vm_map_entry_link	
vm_map_pmap_enter	
vm_gpu_map_create	
vm_map_findspace	
vm_map_fixed	
vm_map_delete	
vm_map_find	
vm_map_entry_unlink	
vm_map_submap	
_vm_map_clip_start	
_vm_map_clip_end	
vm_map_protect	
vm_gpu_map_delete	
vm_map_madvise	
vm_map_inherit	
vm_map_unwire	
vm_map_wire	
vm_map_sync	
vm_map_remove	
vm_map_check_protection	
vmspace_fork	
vmspace_map_entry_forked	
vm_map_stack	
vm_map_growstack	
vmspace_exec	
vmspace_unshare	
vm_map_lookup	
vm_map_lookup_locked	
vm_map_lookup_done	
vm_map_suspend_gpu_map_entries	
vm_map_resume_gpu_map_entries	
vm_gvmsw_map	
vm_map_set_name	
vm_map_print	
procvm	
procvm_show_del	
procvm_show_add	
map_show_del	
map_show_add	
enodev_0	
initialize	
vcnt	
sys_sbrk	
sys_sstk	
sys_mmap	
vm_mmap	
freebsd6_mmap	
sys_msync	
sys_munmap	
sys_mprotect	
sys_minherit	
sys_madvise	
sys_mincore	
sys_mlock	
sys_mlockall	
sys_munlockall	
sys_munlock	
vm_mmap_cdev	
vm_mmap_to_errno	
sys_query_memory_protection	
sys_virtual_query	
sys_batch_map	
sys_set_vm_container	
sys_mname	
vm_object_rmap_dump	
vm_object_rmap_check_invariant	
vm_object_rmap_dump_trace	
vm_object_rmap_release	
vm_object_rmap_entry_enter	
vm_object_rmap_find	
vm_object_rmap_entry_insert	
vm_object_rmap_entry_delete	
_vm_object_allocate	
vm_object_init	
vm_object_zinit	
vm_object_clear_flag	
vm_object_set_memattr	
vm_object_pip_add	
vm_object_pip_subtract	
vm_object_pip_wakeup	
vm_object_pip_wakeupn	
vm_object_pip_wait	
vm_object_allocate	
vm_object_reference	
vm_object_reference_locked	
vm_object_deallocate	
vm_object_collapse	
vm_object_terminate	
vm_object_destroy	
vm_object_page_clean	
vm_object_page_collect_flush	
vm_object_sync	
vm_object_page_remove	
vm_object_madvise	
vm_object_shadow	
vm_object_split	
vm_object_backing_scan	
vm_object_populate	
vm_object_coalesce	
vm_object_set_writeable_dirty	
vm_object_check	
vm_object_print_static	
vm_object_print	
vm_object_print_pages	
vm_object_print_rmaps	
rmap_show_del	
rmap_show_add	
vmopag_show_del	
vmopag_show_add	
object_show_del	
object_show_add	
_vm_object_in_map	
vmochk_show_del	
vmochk_show_add	
vm_object_rmap_entry_splay_internal	
vm_page_pa_tryrelock	
vm_set_page_size	
vm_page_startup	
vm_page_aflag_set	
vm_page_aflag_clear	
vm_page_reference	
vm_page_busy	
vm_page_flash	
vm_page_wakeup	
vm_page_io_start	
vm_page_io_finish	
vm_page_hold	
vm_page_unhold	
vm_page_free_toq	
vm_page_unhold_pages	
vm_page_getfake	
vm_page_putfake	
vm_page_updatefake	
vm_page_free	
vm_page_free_zero	
vm_page_sleep	
vm_page_dirty	
vm_page_splay	
vm_page_insert	
vm_page_remove	
vm_page_lookup	
vm_page_find_least	
vm_page_next	
vm_page_prev	
vm_page_rename	
vm_page_cache_free	
vm_page_cache_remove	
vm_page_cache_transfer	
vm_page_alloc	
vm_page_alloc_init	
vm_wait	
vm_waitpfault	
vm_page_requeue	
vm_pageq_remove	
vm_page_activate	
vm_page_wire	
vm_page_unwire	
vm_page_deactivate	
_vm_page_deactivate	
vm_page_try_to_cache	
vm_page_cache	
vm_page_try_to_free	
vm_page_dontneed	
vm_page_grab	
vm_page_bits	
vm_page_set_valid	
vm_page_set_validclean	
vm_page_clear_dirty	
vm_page_set_invalid	
vm_page_zero_invalid	
vm_page_is_valid	
vm_page_test_dirty	
vm_page_cowfault	
vm_page_cowclear	
vm_page_cowsetup	
vm_page_init_fakepg	
vm_pageout_fallback_object_lock	
vm_pageout_page_lock	
vm_pageout_flush	
vm_pageout_oom	
pagedaemon_wakeup	
vm_daemon	
vm_pageout_map_deactivate_pages	
vm_pageout_object_deactivate_pages	
kick_pagedaemons	
vm_pageout	
vm_pageout_clean	
vm_req_vmdaemon	
dead_pager_alloc	
dead_pager_dealloc	
dead_pager_getpages	
dead_pager_putpages	
dead_pager_haspage	
vm_pager_init	
vm_pager_bufferinit	
vm_pager_allocate	
vm_pager_deallocate	
vm_pager_object_lookup	
getpbuf	
trypbuf	
relpbuf	
pbgetvp	
pbgetbo	
pbrelvp	
pbrelbo	
vm_phys_init	
vm_phys_create_seg	
vm_phys_add_page	
vm_phys_paddr_to_vm_page	
vm_phys_free_pages	
vm_phys_move_pages	
vm_phys_alloc_pages	
vm_phys_alloc_freelist_pages	
vm_phys_set_pool	
vm_phys_bootstrap_alloc	
vm_phys_unfree_page	
vm_phys_alloc_contig	
sys_obreak	
sys_ovadvise	
vnode_pager_alloc	
vnode_pager_dealloc	
vnode_pager_getpages	
vnode_pager_putpages	
vnode_pager_haspage	
vnode_create_vobject	
vnode_destroy_vobject	
vnode_pager_setsize	
vnode_pager_generic_getpages	
vnode_pager_input_old	
vnode_pager_input_smlfs	
vnode_pager_generic_putpages	
vnode_pager_undirty_pages	
bnet_is_system_process	
bnet_is_qaf	
bnet_is_development_mode	
bnet_crypto_sha1_hmac_init	
bnet_crypto_sha1_hmac_transform	
bnet_crypto_sha1_hmac_final	
bnet_crypto_aes_cbc_cfb128_encrypt	
bnet_crypto_aes_cbc_cfb128_decrypt	
bnet_memcmp_consttime	
ksock_create	
ksock_close	
ksock_bind	
ksock_listen	
ksock_accept	
ksock_connect	
ksock_send	
ksock_recv	
check_ip_address_release	
bnet_disable_socket	
bnet_init	
bnet_process_exec	
bnet_process_exit	
bnet_process_suspend	
bnet_process_resume	
bnet_disable_socket_internal	
bnet_netabort	
bnet_epollabort	
bnet_netevent_notify	
bnet_netevent_set_queue	
bnet_netevent_clear_queue_with_so	
bnet_netcontrol	
bnet_bandwidth_process_exec_info	
bnet_bandwidth_set_info	
bnet_bandwidth_process_exit_info	
set_emulation_data	
bnet_bandwidth_get_info	
bnet_bandwidth_get_ptr	
bnet_increase_rx_bytes	
bnet_bandwidth_clear_queue	
bnet_bandwidth_ifq_handoff	
delaycheck	
bnet_bandwidth_apply_rx	
bnet_bandwidth_init	
limitcheck	
limitcheck_decrease_bytes	
decrease_bps_bytes	
increase_tx_bytes	
is_tx_bps_limit	
bnet_get_if_list	
bnet_init_random	
mrnd521_srand	
bnet_bandwidth_random_seed	
bnet_bandwidth_random	
bnet_ps_random	
bnet_get_sockinfo_inpcbtables	
bnet_get_sockinfo_internal	
pppoe_clone_match	
pppoe_clone_create	
pppoe_clone_destroy	
pppoe_input	
pppoe_enqueue	
get_pppoe_dstifp	
pppoe_send_padr	
pppoe_timeout	
pppoe_send_padi	
pppoe_get_mbuf	
pppoe_output	
pppoe_ioctl	
pppoe_start	
pppoe_tls	
pppoe_tlf	
pppoe_modevent	
sppp_input	
sppp_cp_send	
sppp_cp_input	
sppp_attach	
sppp_keepalive	
sppp_output	
sppp_detach	
sppp_flush	
sppp_isempty	
sppp_dequeue	
sppp_ioctl	
sppp_cisco_send	
sppp_auth_send	
sppp_phase_network	
sppp_null	
sppp_chap_open	
sppp_chap_close	
sppp_chap_TO	
sppp_chap_tlu	
sppp_chap_tld	
sppp_chap_scr	
sppp_ipv6cp_up	
sppp_ipv6cp_down	
sppp_ipv6cp_open	
sppp_ipv6cp_close	
sppp_ipv6cp_TO	
sppp_ipv6cp_RCR	
sppp_ipv6cp_RCN_rej	
sppp_ipv6cp_RCN_nak	
sppp_ipv6cp_tlu	
sppp_ipv6cp_tld	
sppp_ipv6cp_tls	
sppp_ipv6cp_tlf	
sppp_ipv6cp_scr	
sppp_pap_open	
sppp_pap_close	
sppp_pap_TO	
sppp_pap_tlu	
sppp_pap_tld	
sppp_pap_scr	
sppp_pap_my_TO	
sppp_ipcp_up	
sppp_ipcp_down	
sppp_ipcp_open	
sppp_ipcp_close	
sppp_ipcp_TO	
sppp_ipcp_RCR	
sppp_ipcp_RCN_rej	
sppp_ipcp_RCN_nak	
sppp_ipcp_tlu	
sppp_ipcp_tld	
sppp_ipcp_tls	
sppp_ipcp_tlf	
sppp_ipcp_scr	
sppp_to_event	
sppp_close_event	
sppp_open_event	
sppp_down_event	
sppp_up_event	
sppp_lcp_up	
sppp_lcp_down	
sppp_lcp_open	
sppp_lcp_close	
sppp_lcp_TO	
sppp_lcp_RCR	
sppp_lcp_RCN_rej	
sppp_lcp_RCN_nak	
sppp_lcp_tlu	
sppp_lcp_tld	
sppp_lcp_tls	
sppp_lcp_tlf	
sppp_lcp_scr	
sppp_modevent	
sppp_alloc	
sppp_free	
arp_init_0	
arp_inpcb_init	
arp_zone_change	
arp_input	
arp_attach	
arp_control	
arp_send	
arp_close	
bnetetherintr	
bnetether_init	
bnetether_inpcb_init	
bnetether_attach	
bnetether_send	
bnetether_close	
desc_init	
desc_inpcb_init	
desc_zone_change	
desc_attach	
desc_control	
desc_detach	
desc_send	
udpp2p_process_exec_info	
udpp2p_process_exit_info	
udpp2p_get_process_info_with_np_port	
udpp2p_pullup_comid	
udpp2p_is_comid	
udpp2p_check_comid	
udpp2p_decsig	
udpp2p_tunnel_output	
udpp2p_encsig	
udpp2p_common_output	
udpp2p_realinput	
udpp2p_getkey_all	
udpp2p_sendup	
udpp2p_tunnel_input	
udpp2p_init	
udpp2p_inpcb_init	
udpp2p_zone_change	
udpp2p_abort	
udpp2p_attach	
udpp2p_bind	
udpp2p_connect	
udpp2p_control	
udpp2p_detach	
udpp2p_disconnect	
udpp2p_getpeeraddr	
udpp2p_send	
udpp2p_shutdown	
udpp2p_getsockaddr	
udpp2p_close	
udpp2p_cbconnect	
udpp2p_addkey	
llvm_gcda_start_file	
llvm_gcda_increment_indirect_counter	
llvm_gcda_emit_function	
llvm_gcda_emit_arcs	
llvm_gcda_end_file	
metadbg_register0	
metadbg_unregister0	
metadbg_call0	
metadbg_log_add	
mdbg_thread_hook_link	
mdbg_thread_hook_unlink	
mdbg_kproc_hook_create	
metadbg_read	
metadbg_ioctl	
sys_mdbg_call	
mdbg_service_setproperty	
sys_mdbg_service	
metadbg_evt	
procexit_handler	
procexec_handler	
procfork_handler	
sys_dynlib_load_prx	
dynlib_is_prx_file	
sys_dynlib_unload_prx	
sys_dynlib_dlsym	
dynlib_is_host_path	
dynlib_basename_host	
dynlib_basename	
sys_dynlib_get_list	
sys_dynlib_get_info	
dynlib_is_so_file	
dynlib_is_prx_object	
dynlib_is_so_object	
sys_dynlib_do_copy_relocations	
sys_dynlib_dlopen	
sys_dynlib_prepare_dlclose	
sys_dynlib_dlclose	
sys_dynlib_get_proc_param	
sys_dynlib_process_needed_and_relocate	
db_show_modules	
modules_show_del	
modules_show_add	
dynlib_proc_initialize	
rtld_calloc	
digest_dynamic	
dump_relocations	
initlist_add_objects	
dynlib_proc_initialize_fork	
dynlib_proc_initialize_post	
dynlib_load_needed_shared_objects	
load_needed_objects	
_rtld_error	
init_dag	
dynlib_relocate_initial_image	
relocate_objects	
dynlib_proc_finalize	
rtld_free	
obj_free	
load_prx	
load_object	
unref_dag	
unload_object	
unload_prx	
dlcheck	
find_library	
unlink_object	
preload_prx_modules	
try_load_module	
do_dlsym	
dynlib_initialize_got_each	
rtld_printf	
rtld_putstr	
rtld_putchar	
debug_printf	
obj_from_addr	
objlist_prepare_fini	
rtld_is_dynlib_available	
dlopen_object	
prepare_dlclose	
do_dlclose	
symlook_global	
symlook_list	
copyin_user_strings	
dump_obj	
do_copy_relocations	
symlook_obj	
rtld_malloc	
rtld_malloc_dump	
find_obj_by_handle	
find_obj_by_name	
dump_all_obj	
dump_objlist	
copyin_strlen	
copyin_strdup	
find_symdef	
dynlib_copyout	
symlook_needed	
cmp_hash_sym_pair	
initlist_add_neededs	
search_library_path	
try_library_path	
origin_subst_one	
object_add_prx_alias	
sys_debug_init	
debug_get_version	
dynlib_notify_event	
dl_dump_info	
sys_dl_get_list	
dl_get_list	
sys_dl_get_info	
dl_get_info	
sys_dl_notify_event	
dldbg_open	
dldbg_close	
dldbg_ioctl	
dl_unpatched_report	
dldbg_init	
_id_table_create	
id_table_create	
id_table_destroy	
id_alloc	
id_set	
id_set_open	
id_is_opened	
id_free	
id_unlock	
id_rlock	
id_rlock_name	
id_wlock	
idt_init	
idt_proc_ctor	
idt_proc_dtor	
usec2hz	
evf_init	
evf_wait	
evf_trywait	
evf_set	
evf_clear	
evf_cancel	
evf_delete	
evf_free	
sys_evf_create	
sys_evf_delete	
sys_evf_wait	
sys_evf_trywait	
sys_evf_set	
sys_evf_clear	
sys_evf_cancel	
sys_evf_open	
sys_evf_close	
osem_init	
osem_wait	
osem_trywait	
osem_post	
osem_cancel	
osem_delete	
osem_free	
sys_osem_create	
sys_osem_delete	
sys_osem_wait	
sys_osem_trywait	
sys_osem_post	
sys_osem_cancel	
sys_osem_open	
sys_osem_close	
gnt_lock	
gnt_unlock	
gnt_add	
gnt_delete	
gnt_free	
gnt_find	
gnt_init	
namedobj_free	
namedobj_create_ex	
sys_namedobj_create	
sys_namedobj_delete	
eport_init	
eport_delete	
eport_trigger	
sys_eport_create	
sys_eport_delete	
sys_eport_trigger	
sys_eport_open	
sys_eport_close	
sceSblACMgrIsSystemUcred	
sceSblACMgrIsIntdev	
sceSblACMgrIsDebuggerProcess	
sceSblACMgrIsCoredumpProcess	
sceSblACMgrIsDebuggableProcess	
sceSblACMgrIsAllowedSystemLevelDebugging	
sceSblACMgrIsAllowedCoredump	
sceSblACMgrSetFSAttributeByMode	
sceSblACMgrSetFSAttributeByAttribute	
sceSblACMgrCheckFSAttribute	
sceSblACMgrGetFSMode	
sceSblACMgrChangeFSAttributeByOwner	
setFatAttributeByMode	
setFatAttributeByAttribute	
checkFatAttribute	
getFatMode	
changeFatAttributeByOwner	
setPfsAttributeByMode	
setPfsAttributeByAttribute	
checkPfsAttribute	
getPfsMode	
sys_get_authinfo	
opmc_hw_reflect_pmc_ctl	
hw_reflect_pmc_ctl	
opmc_hw_reflect_pmc_ctr	
opmc_hw_save_pmc_ctr	
opmc_enable	
opmc_disable	
opmc_set_ctl	
opmc_set_ctr	
reflect_pmc_ctr	
opmc_get_ctr	
sys_opmc_enable	
sys_opmc_disable	
sys_opmc_set_ctl	
sys_opmc_set_ctr	
sys_opmc_get_ctr	
sceSblRcMgrIsAllowPsmDebug	
sceSblRcMgrIsAllowRegistryAccess	
sceSblAIMgrIsTool	
sceSblAIMgrIsDex	
sceSblAIMgrIsDiag	
budget_resource_init	
budget_resource_use	
budget_resource_return	
sys_budget_create	
budget_return	
sys_budget_delete	
budget_delete	
sys_budget_get	
sys_budget_set	
budget_init	
budget_proc_ctor	
budget_proc_dtor	
sblock_create	
sblock_destroy	
sblock_enter	
sblock_exit	
sblock_xenter	
sblock_xexit	
sblock_name_free	
sys_sblock_create	
sys_sblock_delete	
sys_sblock_enter	
sys_sblock_exit	
sys_sblock_xenter	
sys_sblock_xexit	
sblock_init	
sblock_proc_ctor	
sblock_proc_dtor	
acpi_machdep_init	
acpi_SetDefaultIntrModel	
acpi_machdep_quirks	
acpi_cpu_c1	
acpi_map_table	
map_table	
acpi_unmap_table	
acpi_find_table	
probe_table	
nexus_acpi_probe	
nexus_acpi_attach	
acpi_restorecpu	
acpi_sleep_machdep	
acpi_stop_beep	
acpi_install_wakeup_handler	
amd64_mem_drvinit	
amd64_mrinit	
amd64_mrset	
amd64_mrAPinit	
amd64_mrreinit	
amd64_mrstoreone	
amd64_mrfetch	
atomic_cmpset_int	
atomic_cmpset_long_5	
atomic_fetchadd_int	
atomic_fetchadd_long	
atomic_set_char	
atomic_set_barr_char	
atomic_clear_char	
atomic_clear_barr_char	
atomic_add_char	
atomic_add_barr_char	
atomic_subtract_char	
atomic_subtract_barr_char	
atomic_set_short	
atomic_set_barr_short	
atomic_clear_short	
atomic_clear_barr_short	
atomic_add_short	
atomic_add_barr_short	
atomic_subtract_short	
atomic_subtract_barr_short	
atomic_set_int	
atomic_set_barr_int	
atomic_clear_int	
atomic_clear_barr_int	
atomic_add_int	
atomic_add_barr_int	
atomic_subtract_int	
atomic_subtract_barr_int	
atomic_set_long	
atomic_set_barr_long	
atomic_clear_long	
atomic_clear_barr_long	
atomic_add_long	
atomic_add_barr_long	
atomic_subtract_long	
atomic_subtract_barr_long	
atomic_load_acq_char	
atomic_store_rel_char	
atomic_load_acq_short	
atomic_store_rel_short	
atomic_load_acq_int	
atomic_store_rel_int	
atomic_load_acq_long	
atomic_store_rel_long_1	
configure_final	
configure	
configure_first	
bios_sigsearch	
cpu_throw	
cpu_switch	
savectx	
savectx2	
db_disasm	
db_disasm_esc	
db_read_address	
db_print_address	
db_read_bytes	
db_write_bytes	
db_show_mdpcpu	
db_frame	
db_ss	
db_rsp	
db_dr0	
db_dr1	
db_dr2	
db_dr3	
db_dr4	
db_dr5	
db_dr6	
db_dr7	
db_trace_self	
db_backtrace	
db_trace_thread	
amd64_set_watch	
amd64_clr_watch	
db_md_set_watchpoint	
db_md_clr_watchpoint	
db_md_list_watchpoints	
elf64_dump_thread	
elf_reloc	
elf_reloc_internal	
elf_reloc_local	
elf_cpu_load_file	
elf_cpu_unload_file	
start_exceptions	
Xbpt	
Xdtrace_ret	
Xdiv	
Xofl	
Xbnd	
Xill	
Xdna	
Xfpusegm	
Xmchk	
Xrsvd	
Xfpu	
Xxmm	
Xtss	
Xmissing	
Xstk	
Xalign	
alltraps	
calltrap	
alltraps_noen	
Xdblfault	
Xpage	
Xprot	
Xfast_syscall	
Xfast_syscall32	
Xnmi	
fork_trampoline	
Xspuriousint	
Xapic_isr1	
Xapic_isr2	
Xapic_isr3	
Xapic_isr4	
Xapic_isr5	
Xapic_isr6	
Xapic_isr7	
Xtimerint	
Xcmcint	
Xerrorint	
Xibsint	
Xinvltlb	
Xinvlpg	
Xinvlrng	
Xinvlcache	
Xipi_intr_bitmap_handler	
Xcpustop	
Xcpususpend	
Xrendezvous	
doreti	
doreti_iret_fault	
ds_load_fault	
es_load_fault	
fs_load_fault	
gs_load_fault	
fsbase_load_fault	
gsbase_load_fault	
fpuinit	
fpuexit	
fpuformat	
fputrap	
fpudna	
fpudrop	
fpugetregs	
fpuuserinited	
fpusetregs	
fpupnp_probe	
fpupnp_attach	
fpu_kern_enter	
fpu_kern_leave	
fpu_kern_thread	
is_fpu_kern_thread	
printcpuinfo	
panicifcpuunsupported	
identify_cpu	
print_AMD_l2_assoc	
hook_tsc_freq	
tsc_freq_changed	
sysctl_hw_machine	
in_addword	
in_pseudo	
in_cksum_skip	
in_cksumdata	
in_cksum_hdr	
initializecpu	
initializecpucache	
intr_register_pic	
intr_register_source	
intr_disable_src	
intr_assign_cpu	
intr_lookup_source	
intr_add_handler	
intr_remove_handler	
intr_config_intr	
intr_execute_handlers	
intr_resume	
atpic_reset	
intr_suspend	
intrcnt_add	
intr_describe	
db_show_irqs	
intr_next_cpu	
intr_bind	
intr_add_cpu	
intr_shuffle_irqs	
irqs_show_del	
irqs_show_add	
intr_init	
iodev_open	
iodev_close	
iodev_ioctl	
legacy_probe	
legacy_attach	
legacy_print_child	
legacy_add_child	
legacy_read_ivar	
legacy_write_ivar	
cpu_identify	
cpu_add_child	
cpu_read_ivar	
cpu_get_rlist	
btext	
sendsig	
fpstate_drop	
sys_sigreturn	
cpu_boot	
cpu_flush_dcache	
cpu_est_clockrate	
spinlock_exit	
cpu_halt	
cpu_idle_acpi	
cpu_idle	
cpu_idle_mwait	
cpu_idle_wakeup	
cpu_idle_spin	
cpu_idle_hlt	
exec_setregs	
reset_dbregs	
cpu_setregs	
setidt	
db_show_idt	
sdtossd	
ssdtosd	
ssdtosyssd	
isa_irq_pending	
hammer_time	
cpu_pcpu_init	
spinlock_enter	
makectx	
ptrace_set_pc	
ptrace_single_step	
ptrace_clear_single_step	
fill_regs	
fill_frame_regs	
set_regs	
fill_fpregs	
set_fpregs	
get_mcontext	
set_mcontext	
fill_dbregs	
set_dbregs	
user_dbreg_trap	
inb_	
outb_	
md_display_dump	
sceKernelIsCavern	
md_check_unpatched	
sysctl_sceKernelIsCavern	
idt_show_del	
idt_show_add	
idle_sysctl	
idle_sysctl_available	
cpu_startup	
sysctl_rcmgr_intdev	
sysctl_hwfeature_for_decid	
sysctl_openpsid_for_sys	
sysctl_idps	
sysctl_curr_manumode	
sysctl_manumode	
memrw	
memmmap	
memioctl	
cpu_topo	
topo_probe	
mp_bootaddress	
cpu_add	
cpu_mp_setmaxid	
cpu_mp_probe	
cpu_mp_start	
trace_pcsample_dummy	
cpu_mp_announce	
init_secondary	
smp_cache_flush	
smp_tlb_shootdown	
smp_invltlb	
smp_invlpg	
smp_invlpg_range	
smp_masked_invltlb	
smp_targeted_tlb_shootdown	
smp_masked_invlpg	
smp_masked_invlpg_range	
ipi_bitmap_handler	
ipi_selected	
ipi_cpu	
ipi_all_but_self	
ipi_nmi_handler	
cpustop_handler	
cpususpend_handler	
release_aps	
topo_probe_0x4	
entry_64	
vtopte	
check_pmap	
pmap_bootstrap	
pmap_init_pat	
pmap_page_init	
pmap_init	
pmap_invalidate_page	
pmap_invalidate_range	
pmap_invalidate_logical_page	
pmap_invalidate_all	
pmap_invalidate_cache	
pmap_invalidate_cache_range	
pmap_invalidate_cache_pages	
pmap_extract	
pmap_extract_and_hold	
pmap_kextract	
pmap_kenter	
pmap_kenter_attr	
pmap_kremove	
pmap_map	
pmap_qenter	
pmap_qremove	
pmap_pinit0	
pmap_pinit	
pmap_release	
pmap_growkernel	
pmap_remove	
pmap_remove_pde	
pmap_demote_pde	
pmap_remove_pte	
pmap_remove_all	
free_pv_entry	
pmap_protect	
pmap_enter	
pmap_allocpte	
get_pv_entry	
pmap_enter_object	
pmap_enter_quick_locked	
pmap_enter_quick	
pmap_kenter_temporary	
pmap_object_init_pt	
pmap_change_wiring	
pmap_copy	
pmap_zero_page	
pmap_zero_page_area	
pmap_zero_page_idle	
pmap_copy_page	
pmap_page_exists_quick	
pmap_page_wired_mappings	
pmap_pvh_wired_mappings	
pmap_page_is_mapped	
pmap_remove_pages	
pmap_is_modified	
pmap_is_modified_pvh	
pmap_is_prefaultable	
pmap_is_referenced	
pmap_is_referenced_pvh	
pmap_remove_write	
pmap_ts_referenced	
pmap_clear_modify	
pmap_clear_reference	
pmap_mapdev_attr	
pmap_change_attr	
pmap_mapdev	
pmap_mapbios	
pmap_unmapdev	
pmap_page_set_memattr	
pmap_change_attr_locked	
pmap_demote_DMAP	
pmap_demote_pdpe	
pmap_mincore	
pmap_activate	
pmap_sync_icache	
pmap_align_superpage	
_pmap_unwire_pte_hold	
_pmap_allocpte	
pmap_update_pde_action	
pmap_update_pde_teardown	
kvm_free	
kvm_size	
sigcode	
stack_save_td	
stack_save	
bzero	
pagezero	
bcmp	
bcopy	
memcpy	
pagecopy	
fillw	
copyout	
copyin	
casuword32	
casuword	
fuword64	
fuword32	
suswintr	
fuword16	
fubyte	
suword64	
suword32	
suword16	
subyte	
copyinstr	
copystr	
lgdt	
setjmp	
longjmp	
rdmsr_safe	
wrmsr_safe	
msr_onfault	
sysarch_ldt	
amd64_get_ldt	
amd64_set_ldt	
update_gdt_gsbase	
update_gdt_fsbase	
sysarch	
amd64_get_ioperm	
amd64_set_ioperm	
set_user_ldt	
user_ldt_alloc	
user_ldt_free	
user_ldt_deref	
amd64_set_ldt_data	
max_ldt_segment_init	
trap	
trap_fatal	
trap_pfault	
dblfault_handler	
cpu_fetch_syscall_args	
trace_syscall_enter_dummy	
trace_syscall_return_dummy	
amd64_syscall	
uiomove_fromphys	
uma_small_alloc	
uma_small_free	
cpu_fork	
cpu_set_fork_handler	
cpu_exit	
cpu_thread_exit	
cpu_thread_clean	
cpu_thread_swapin	
cpu_thread_swapout	
cpu_thread_alloc	
cpu_thread_free	
cpu_set_syscall_retval	
cpu_set_upcall	
cpu_set_upcall_kse	
cpu_set_user_tls	
cpu_reset	
cpu_reset_proxy	
cpu_reset_real	
sf_buf_alloc	
sf_buf_free	
swi_vm	
is_physical_memory	
pci_cfgregopen	
pci_cfgregread	
pcie_cfgregopen	
pci_cfgregwrite	
pcireg_cfgread	
ioopen	
ioclose	
ioioctl	
io_modevent	
kbd_init_struct	
kbd_set_maps	
kbd_add_driver	
kbd_delete_driver	
kbd_register	
kbd_get_keyboard	
kbd_find_keyboard	
kbd_unregister	
kbd_get_switch	
kbd_find_keyboard2	
kbd_allocate	
kbd_release	
kbd_change_callback	
kbd_configure	
genkbdopen	
genkbdclose	
genkbdread	
genkbdwrite	
genkbdioctl	
genkbdpoll	
kbd_attach	
kbd_detach	
genkbd_commonioctl	
key_change_ok	
genkbd_get_fkeystr	
genkbd_diag	
genkbd_keyaction	
genkbd_event	
uart_cpu_eqres	
uart_cpu_getdev	
hardclockintr	
handleevents	
cpu_initclocks_bsp	
cpu_new_callout	
timercb	
round_freq	
configtimer	
cpu_initclocks_ap	
loadtimer	
cpu_startprofclock	
cpu_stopprofclock	
cpu_idleclock	
cpu_activeclock	
clocksource_cyc_set	
sysctl_kern_eventtimer_periodic	
sysctl_kern_eventtimer_timer	
link_elf_lookup_symbol_0	
link_elf_symbol_values_0	
link_elf_search_symbol_0	
link_elf_unload_file_0	
link_elf_load_file_0	
link_elf_link_preload_0	
link_elf_link_preload_finish_0	
link_elf_lookup_set_0	
link_elf_each_function_name_0	
link_elf_each_function_nameval_0	
link_elf_ctf_get_0	
link_elf_symtab_get_0	
link_elf_strtab_get_0	
link_elf_reloc_local_0	
relocate_file_0	
elf_obj_lookup	
link_elf_init_0	
memmove	
memset_8	
AcpiOsInitialize	
AcpiOsTerminate	
AcpiOsGetRootPointer	
apmreadfiltdetach	
apmreadfilt	
apmopen	
apmclose	
apmwrite	
apmioctl	
apmpoll	
apmkqfilter	
acpi_apm_init	
apm_create_clone	
apm_clone	
acpi_capm_get_info	
madt_probe	
madt_probe_cpus	
madt_setup_local	
madt_setup_io	
madt_set_ids	
madt_register	
madt_parse_apics	
madt_parse_ints	
madt_probe_cpus_handler	
srat_set_cpus	
parse_srat	
srat_parse_entry	
pn_identify	
pn_probe	
pn_attach	
pn_detach	
pn_set	
pn_get	
pn_settings	
pn_type	
pn_decode_pst	
est_identify	
est_probe	
est_attach	
est_detach	
est_set	
est_get	
est_type	
est_settings	
est_features	
hwpstate_identify	
hwpstate_probe	
hwpstate_attach	
hwpstate_detach	
hwpstate_shutdown	
hwpstate_set	
hwpstate_get	
hwpstate_settings	
hwpstate_type	
hwpstate_features	
hwpstate_goto_pstate	
p4tcc_identify	
p4tcc_probe	
p4tcc_attach	
p4tcc_set	
p4tcc_get	
p4tcc_type	
p4tcc_settings	
p4tcc_features	
rtcin	
writertc	
atrtc_restore	
atrtc_probe	
atrtc_attach	
atrtc_resume	
atrtc_gettime	
atrtc_settime	
rtc	
rtc_show_del	
rtc_show_add	
rtc_intr	
rtc_start	
rtc_stop	
timer_spkr_acquire	
timer_spkr_release	
timer_spkr_setfreq	
DELAY	
i8254_init	
set_i8254_freq	
startrtclock	
cpu_initclocks	
attimer_probe	
attimer_attach	
attimer_resume	
i8254_get_timecount	
clkintr	
attimer_start	
attimer_stop	
sysctl_machdep_i8254_freq	
get_tsc	
elcr_probe	
elcr_read_trigger	
elcr_write_trigger	
elcr_resume	
isa_init	
isa_alloc_resource	
isa_release_resource	
isa_dma_init	
isa_dma_acquire	
isa_dma_release	
isa_dmacascade	
isa_dmastart	
isa_dmadone	
isa_dmastatus	
isa_dmastatus_locked	
isa_dmatc	
isa_dmastop	
atdma_probe	
atdma_attach	
isa_nmi	
orm_identify	
orm_probe	
orm_attach	
orm_detach	
legacy_pcib_maxslots	
legacy_pcib_read_config	
legacy_pcib_write_config	
legacy_pcib_read_ivar	
legacy_pcib_write_ivar	
hostb_alloc_start	
legacy_pcib_alloc_resource	
legacy_pcib_identify	
legacy_pcib_probe	
legacy_pcib_attach	
legacy_pcib_route_interrupt	
legacy_pcib_alloc_msi	
legacy_pcib_alloc_msix	
legacy_pcib_map_msi	
pcibus_pnp_probe	
pcibus_pnp_attach	
qpi_identify	
qpi_probe	
qpi_attach	
qpi_print_child	
qpi_read_ivar	
qpi_pcib_probe	
qpi_pcib_attach	
qpi_pcib_read_ivar	
qpi_pcib_read_config	
qpi_pcib_write_config	
qpi_pcib_alloc_msi	
qpi_pcib_alloc_msix	
qpi_pcib_map_msi	
run_filter	
busdma_lock_mutex	
bus_dma_tag_create	
dflt_lock	
alloc_bounce_zone	
alloc_bounce_pages	
bus_dma_tag_destroy	
bus_dmamap_create	
bus_dmamap_destroy	
bus_dmamem_alloc	
bus_dmamem_free	
_bus_dmamap_count_pages	
bus_dmamap_load	
_bus_dmamap_load_buffer	
bus_dmamap_load_mbuf	
bus_dmamap_load_mbuf_sg	
bus_dmamap_load_uio	
_bus_dmamap_unload	
_bus_dmamap_sync	
busdma_swi	
init_bounce_pages	
dumpsys	
ioapic_enable_source	
ioapic_disable_source	
ioapic_eoi_source	
ioapic_enable_intr	
ioapic_disable_intr	
ioapic_vector	
ioapic_source_pending	
ioapic_resume	
ioapic_config_intr	
ioapic_assign_cpu	
ioapic_create	
ioapic_set_extint	
ioapic_get_vector	
ioapic_disable_pin	
ioapic_remap_vector	
ioapic_set_bus	
ioapic_set_nmi	
ioapic_set_smi	
ioapic_set_polarity	
ioapic_set_triggermode	
ioapic_register	
ioapic_pci_probe	
ioapic_pci_attach	
apic_identify	
apic_probe	
apic_attach	
ioapic_program_intpin	
lapic_resume	
lapic_init	
lapic_id	
trace_ibs_dummy	
lapic_et_start	
lapic_et_stop	
lapic_create	
lapic_dump	
lapic_setup	
lapic_set_tpr	
lvt_mode	
lapic_reenable_pmc	
lapic_enable_pmc	
lapic_update_pmc	
lapic_disable_pmc	
lapic_disable	
lapic_intr_pending	
lapic_set_logical_id	
lapic_set_lvt_mask	
lapic_set_lvt_mode	
lapic_set_lvt_polarity	
lapic_set_lvt_triggermode	
lapic_eoi	
lapic_handle_intr	
apic_idt_to_irq	
lapic_handle_timer	
ibs_workaround	
lapic_handle_cmc	
lapic_enable_cmc	
lapic_handle_error	
lapic_enable_ibs	
lapic_disable_ibs	
lapic_handle_ibs	
apic_cpuid	
apic_alloc_vector	
apic_alloc_vectors	
apic_enable_vector	
apic_disable_vector	
apic_free_vector	
db_show_apic	
db_show_lapic	
apic_register_enumerator	
lapic_ipi_wait	
lapic_ipi_raw	
lapic_ipi_vectored	
apic_setup_io	
apic_setup_local	
apic_init	
lapic_show_del	
lapic_show_add	
apic_show_del	
apic_show_add	
mca_init	
_mca_init	
mca_resume	
mca_intr	
mca_scan	
cmc_intr	
mca_log	
mca_init_bsp	
mca_scan_cpus	
sysctl_positive_int	
sysctl_mca_records	
sysctl_mca_scan	
mca_startup	
mca_periodic_scan	
msi_enable_source	
msi_disable_source	
msi_eoi_source	
msi_enable_intr	
msi_disable_intr	
msi_vector	
msi_source_pending	
msi_config_intr	
msi_assign_cpu	
msi_init	
msi_alloc	
msi_create_source	
msi_release	
msi_map	
msix_alloc	
msix_release	
nexus_probe	
nexus_attach	
nexus_print_child	
nexus_add_child	
nexus_alloc_resource	
nexus_adjust_resource	
nexus_release_resource	
nexus_activate_resource	
nexus_deactivate_resource	
nexus_setup_intr	
nexus_teardown_intr	
nexus_bind_intr	
nexus_config_intr	
nexus_describe_intr	
nexus_get_reslist	
nexus_set_resource	
nexus_get_resource	
nexus_delete_resource	
nexus_alloc_msi	
nexus_release_msi	
nexus_alloc_msix	
nexus_release_msix	
nexus_map_msi	
nexus_init_resources	
nexus_add_irq	
ram_identify	
ram_probe	
ram_attach	
sysresource_probe	
sysresource_attach	
tsc_get_timecount	
init_TSC	
rdtsc	
tsc_freq_changing	
tsc_freq_changed_0	
tsc_levels_changed	
sysctl_machdep_tsc_freq	
init_TSC_tc	
tsc_get_timecount_low	
tsc_read_0	
tsc_read_1	
tsc_read_2	
comp_smp_tsc	
cpu_ptrace	
lvp_configure_tccds	
sceKernelHwConfigRead	
sceKernelGetMacAddress	
sceKernelInitBootParams	
sysctl_machdep_cavern_dvt1_init_update	
initialize_dmem	
allocate_from_physmap	
dmem_open	
dmem_close	
dmem_ioctl	
dmem_mmap	
dmem_mmap_single_ext	
dmem_memory_type_to_gpu_attr	
add_gpu_dmem	
add_gpu_private_dmem	
allocate_direct_memory	
dmem_find_per_proc	
dmem_area_splay	
free_direct_memory	
dmem_area_split	
set_direct_memory_type	
dmem_vmo_get_type	
sys_dmem_container	
dmem_loader	
dmem_check_count	
dmem_handle_process_exit	
sys_jitshm_create	
jitshm_destroy	
sys_jitshm_alias	
jitshm_mmap	
jitshm_init	
jitshm_read	
jitshm_write	
jitshm_truncate	
jitshm_ioctl	
jitshm_poll	
jitshm_kqfilter	
jitshm_stat	
jitshm_close	
jitshm_chmod	
jitshm_chown	
process_suspend_postpone	
sys_suspend_process	
sys_resume_process	
apcie_probe	
apcie_attach	
apcie_detach	
apcie_suspend	
apcie_resume	
apcie_shutdown	
aeolia_get_resource_func4_bar2	
aeolia_get_resource_func4_bar4	
aeolia_get_rman_func4_bar4	
sce_aeolia_get_revision	
sce_aeolia_get_ate_sram_rtc_version	
is_aeolia_device	
aeolia_pervasive1_read_4	
aeolia_pervasive1_write_4	
aeolia_bandwidth_weight_gp0_write	
apcie_config_msi	
spm_probe	
spm_attach	
spm_detach	
spm_suspend	
spm_resume	
spm_shutdown	
spm_get_memory	
spm_read_mac_address	
spm_read_usb_calibration_value	
twsi_probe	
twsi_attach	
twsi_detach	
twsi_suspend	
twsi_resume	
twsi_shutdown	
twsi_open	
twsi_close	
twsi_ioctl	
get_twsi_softc	
twsi_rdrw	
twsi_init	
i2c_xfer_data_message	
twsi_wait_state	
icc_probe	
icc_attach	
icc_detach	
icc_suspend	
icc_resume	
icc_shutdown	
icc_query	
icc_reply	
icc_send	
icc_register_query_handler	
icc_register_notification_handler	
icc_is_available	
icc_interrupt	
icc_delayed_attach	
icc_button_handler	
icc_button_init	
icc_button_get_state	
icc_nvs_write	
icc_nvs_read	
icc_nvs_init	
icc_nvs_task	
icc_nvs_strategy	
icc_snvs_read_sector	
icc_snvs_write_sector	
icc_snvs_get_write_count	
icc_init_snvs_write_lock	
icc_lock_snvs_write	
icc_unlock_snvs_write	
icc_indicator_set_buzzer	
icc_power_init	
icc_power_shutdown_post_sync	
icc_power_shutdown_final	
icc_get_wakeup_source	
icc_set_wakeup_source	
icc_device_power_control_wlan_bt_power_state	
icc_configuration_init	
icc_configuration_get_firmware_version	
icc_configuration_ioctl	
icc_configuration_drvinit	
icc_thermal_ioctl	
icc_thermal_drvinit	
icc_fan_open	
icc_fan_close	
icc_fan_ioctl	
eval_fan_id	
get_current_fan_duty	
icc_fan_drvinit	
sc_fw_update_open	
sc_fw_update_close	
sc_fw_update_ioctl	
icc_sc_fw_update_handler	
sc_fw_update_loader	
hws_p	
hws_v	
aeolia_sflash_read	
issue_command	
read_dma_callback	
program_single	
is_dirty	
program	
aeolia_sflash_write	
read_dma	
erase_sector	
sflash_pwrite_for_vtrm	
sflash_pread_for_vtrm	
sflash_erase_for_vtrm	
aeolia_sflash_probe	
aeolia_sflash_attach	
aeolia_sflash_interrupt	
aeolia_sflash_delayed_attach	
aeolia_sflash_strategy	
aeolia_sflash_task	
aeolia_dmac_callback	
aeolia_dmac_transfer_upstream	
aeolia_dmac_transfer_downstream	
aeolia_dmac_xfer_upstream	
aeolia_dmac_xfer_downstream	
aeolia_dmac_probe	
aeolia_dmac_attach	
aeolia_dmac_interrupt	
aeolia_sbram_get_resource	
aeolia_sbram_probe	
aeolia_sbram_attach	
aeolia_sbram_delayed_attach	
aeolia_sbram_strategy	
aeolia_sbram_task	
aeolia_rtc_set_nowtime	
aeolia_rtc_clear_registry_offset	
aeolia_rtc_add_registry_offset	
aeolia_rtc_get_registry_offset	
aeolia_rtc_preserve_nowtime	
aeolia_rtc_probe	
aeolia_rtc_attach	
aeolia_rtc_detach	
aeolia_rtc_suspend	
aeolia_rtc_resume	
aeolia_rtc_shutdown	
aeolia_rtc_gettime	
aeolia_rtc_settime	
led_twsi_init	
led_func	
led_twsi_destroy	
led_twsi_set	
aeolia_emctimer0_initialize	
aeolia_emctimer_get_tsc_freq	
self_pager_init	
self_pager_alloc	
self_pager_dealloc	
self_pager_getpages	
self_pager_putpages	
self_pager_haspage	
self_pindex_to_offset_in_segment	
self_pindex_to_segment_number	
activate_self_info	
self_pager_read_block	
self_pager_done_block	
deactivate_self_info	
deallocate_self_info	
self_orbis_fixup	
self_modevent	
exec_self_imgact	
self_map_insert	
notification_read	
notification_write	
initialize_orbis_notification	
getNumOfLf	
convLf2CrLf	
mydev_kqops_read_detach	
mydev_kqops_read_event	
mydev_kqops_write_detach	
mydev_kqops_write_event	
tty_out_open	
tty_out_close	
tty_out_read	
tty_out_write	
tty_out_kqfilter	
load	
kqops_read_detach	
kqops_read_event	
kqops_write_detach	
kqops_write_event	
tty_in_open	
tty_in_close	
tty_in_read	
tty_in_write	
tty_in_poll	
tty_in_kqfilter	
load_0	
find_node	
createRingBuffer	
destoryRingBuffer	
putRingBuffer	
_lockRingBuffer	
_waitRingBuffer	
_unlockRingBuffer	
_putRingBuffer	
_signalRingBuffer	
getRingBuffer	
_getRingBuffer	
getElemSet	
_getReadableData	
_getWritableData	
printRingBuffer	
createStringRingBuffer	
destroyStringRingBuffer	
putStringRingBuffer	
getStringRingBuffer	
printStringRingBuffer	
countStringRingBuffer	
fuse_loader	
fuse_vnode_destroy	
fuse_vnode_get	
alloc_namebuf	
replace_namebuf	
free_namebuf	
fuse_vnode_open	
fuse_isvalid_attr	
fuse_vnode_savesize	
fuse_vnode_refreshsize	
fuse_vnode_setsize	
fuse_vnode_cmp	
fuse_device_open	
fuse_device_close	
fuse_device_read	
fuse_device_write	
fuse_device_poll	
fuse_device_clone	
fuse_vfsop_mount	
fuse_vfsop_unmount	
fuse_vfsop_root	
fuse_vfsop_statfs	
fuse_vnop_lookup	
fuse_vnop_create	
fuse_vnop_mknod	
fuse_vnop_open	
fuse_vnop_close	
fuse_vnop_access	
fuse_vnop_getattr	
fuse_vnop_setattr	
fuse_vnop_read	
fuse_vnop_write	
fuse_vnop_fsync	
fuse_vnop_remove	
fuse_vnop_link	
fuse_vnop_rename	
fuse_vnop_mkdir	
fuse_vnop_rmdir	
fuse_vnop_symlink	
fuse_vnop_readdir	
fuse_vnop_readlink	
fuse_vnop_inactive	
fuse_vnop_reclaim	
fuse_vnop_strategy	
fuse_vnop_print	
fuse_vnop_getpages	
fuse_vnop_putpages	
fuse_vnop_vptocnp	
fuse_io_dispatch	
fuse_read_directbackend	
fuse_write_directbackend	
fuse_io_strategy	
fuse_io_flushbuf	
fuse_io_invalbuf	
fiov_init	
fiov_teardown	
fiov_adjust	
fiov_refresh	
fticket_pull	
fdata_alloc	
fdata_trydestroy	
fdata_set_dead	
fuse_ticket_fetch	
fuse_ticket_drop	
fuse_insert_callback	
fuse_insert_message	
fdisp_make_pid	
fdisp_make	
fdisp_make_vp	
fdisp_wait_answ	
fuse_standard_handler	
fuse_ipc_init	
fticket_ctor	
fticket_dtor	
fuse_ipc_destroy	
fuse_internal_access	
fuse_internal_fsync_callback	
fuse_internal_fsync	
fuse_internal_readdir	
fuse_internal_readdir_processdata	
fuse_internal_remove	
fuse_internal_rename	
fuse_internal_newentry_makerequest	
fuse_internal_newentry_core	
fuse_internal_forget_send	
fuse_internal_newentry	
fuse_internal_forget_callback	
fuse_internal_vnode_disappear	
fuse_internal_init_callback	
fuse_internal_send_init	
fuse_filehandle_open	
fuse_filehandle_valid	
fuse_filehandle_init	
fuse_filehandle_close	
fuse_filehandle_get	
fuse_filehandle_getrw	
AesEncKeySet	
AesDecKeySet	
AesEncrypt	
AesDecrypt	
AesCtrMode	
AesCbcCfb128Encrypt	
AesCbcCfb128Decrypt	
Multi4Omac128	
AesOmac1Mode	
Md5OneBlk	
Md5Init	
Md5Transform	
Md5Final	
Md5HmacInit	
Md5HmacTransform	
Md5HmacFinal	
Md5Hash	
Md5Hmac	
Sha1OneBlk	
Sha1Init	
Sha1Transform	
Sha1Final	
Sha1HmacInit	
Sha1HmacTransform	
Sha1HmacFinal	
Sha1Hash	
Sha1Hmac	
Fips186Prng	
mpadd	
Sha256OneBlk	
Sha256FinalCore	
Sha256Init	
Sha256Transform	
Sha256Final	
Sha256HmacInit	
Sha256HmacTransform	
Sha256HmacFinal	
Sha256Hash	
Sha256Hmac	
Sha224Init	
Sha224Transform	
Sha224Final	
Sha224HmacInit	
Sha224HmacTransform	
Sha224HmacFinal	
Sha224Hash	
Sha224Hmac	
TdesEncKeySet	
DesEncKeySet	
TdesDecKeySet	
TdesFunction	
DesFunction	
TdesCbcCfb64Encrypt	
TdesCbcCfb64Decrypt	
tmpfs_access	
tmpfs_getattr	
tmpfs_setattr	
tmpfs_reclaim	
tmpfs_lookup	
tmpfs_create	
tmpfs_whiteout	
tmpfs_mknod	
tmpfs_open	
tmpfs_close	
tmpfs_read	
tmpfs_write	
tmpfs_fsync	
tmpfs_remove	
tmpfs_link	
tmpfs_rename	
tmpfs_mkdir	
tmpfs_rmdir	
tmpfs_symlink	
tmpfs_readdir	
tmpfs_readlink	
tmpfs_inactive	
tmpfs_print	
tmpfs_pathconf	
tmpfs_vptofh	
tmpfs_nocacheread	
tmpfs_fifo_close	
tmpfs_fifo_kqfilter	
tmpfs_mount	
tmpfs_unmount	
tmpfs_root	
tmpfs_statfs	
tmpfs_fhtovp	
tmpfs_node_ctor	
tmpfs_node_dtor	
tmpfs_node_init	
tmpfs_node_fini	
tmpfs_alloc_node	
tmpfs_free_node	
tmpfs_alloc_dirent	
tmpfs_free_dirent	
tmpfs_alloc_vp	
tmpfs_free_vp	
tmpfs_alloc_file	
tmpfs_dir_whiteout_remove	
tmpfs_dir_attach	
tmpfs_dir_detach	
tmpfs_dir_lookup	
tmpfs_dir_getdotdent	
tmpfs_dir_getdotdotdent	
tmpfs_dir_lookupbycookie	
tmpfs_dir_getdents	
tmpfs_dir_whiteout_add	
tmpfs_reg_resize	
tmpfs_chflags	
tmpfs_chmod	
tmpfs_chown	
tmpfs_chsize	
tmpfs_truncate	
tmpfs_chtimes	
tmpfs_itimes	
tmpfs_update	
null_bypass	
null_lookup	
null_open	
null_access	
null_accessx	
null_getattr	
null_setattr	
null_remove	
null_rename	
null_inactive	
null_reclaim	
null_lock	
null_unlock	
null_getwritemount	
null_print	
null_vptofh	
null_vptocnp	
nullfs_mount	
nullfs_unmount	
nullfs_root	
nullfs_quotactl	
nullfs_statfs	
nullfs_sync	
nullfs_vget	
nullfs_fhtovp	
nullfs_extattrctl	
nullfs_init	
nullfs_uninit	
null_nodeget	
null_hashget	
null_insmntque_dtr	
null_hashins	
null_hashrem	
unionfs_lookup	
unionfs_create	
unionfs_whiteout	
unionfs_mknod	
unionfs_open	
unionfs_close	
unionfs_access	
unionfs_getattr	
unionfs_setattr	
unionfs_read	
unionfs_write	
unionfs_ioctl	
unionfs_poll	
unionfs_fsync	
unionfs_remove	
unionfs_link	
unionfs_rename	
unionfs_mkdir	
unionfs_rmdir	
unionfs_symlink	
unionfs_readdir	
unionfs_readlink	
unionfs_inactive	
unionfs_reclaim	
unionfs_lock	
unionfs_unlock	
unionfs_strategy	
unionfs_getwritemount	
unionfs_print	
unionfs_pathconf	
unionfs_advlock	
unionfs_getacl	
unionfs_setacl	
unionfs_aclcheck	
unionfs_closeextattr	
unionfs_getextattr	
unionfs_listextattr	
unionfs_openextattr	
unionfs_deleteextattr	
unionfs_setextattr	
unionfs_setlabel	
unionfs_vptofh	
unionfs_domount	
unionfs_unmount	
unionfs_root	
unionfs_quotactl	
unionfs_statfs	
unionfs_sync	
unionfs_vget	
unionfs_fhtovp	
unionfs_checkexp	
unionfs_extattrctl	
unionfs_init	
unionfs_uninit	
unionfs_nodeget	
unionfs_noderem	
unionfs_get_node_status	
unionfs_tryrem_node_status	
unionfs_create_uppervattr_core	
unionfs_create_uppervattr	
unionfs_relookup	
unionfs_relookup_for_create	
unionfs_relookup_for_delete	
unionfs_relookup_for_rename	
unionfs_mkshadowdir	
unionfs_node_update	
unionfs_mkwhiteout	
unionfs_copyfile	
unionfs_check_rmdir	
trace_open	
trace_close	
trace_ioctl	
trace_mmap	
trace_mmap_single	
trace_convert_sysaddr	
cpuset_shift_ktou	
cpunum_shift_ktou	
cpunum_shift_utok	
trace_modevent	
trace_sched	
trace_name_sched	
trace_pcsample	
trace_syscall_enter	
trace_syscall_return	
trace_pcsample_expire	
_copyin_rbp	
_trace_name_sched	
_trace_event	
ibs_open	
ibs_close	
ibs_ioctl	
ibs_mmap	
ibs_mmap_single	
register_ibs_dev	
ibs_write	
unregister_ibs_dev	
cayman_open	
cayman_close	
cayman_ioctl	
cayman_mmap	
gbase_is_lvp_a0	
gc_probe	
gc_attach	
gc_detach	
event_handler	
gc_suspend_phase2	
gc_suspend_phase1_start	
gc_suspend_phase1_end	
gc_suspend_phase1_main	
gc_suspend_phase0	
gc_resume_phase0	
gc_resume_phase1_start	
gc_resume_phase1_end	
gc_resume_phase2	
Cail_MCILWaitFor	
CailGetIndReg	
CailSetIndReg	
CailGetSmcIndReg	
CailSetSmcIndReg	
Cail_MCILWaitForSmc	
ATOM_GetPLLDividers	
bonaire_set_vceclk	
Cail_Bonaire_SetAcpClock	
Cail_Spectre_InitSaveRestoreBuffer	
Cail_Spectre_InitCPJumpTable	
gbase_cail_init	
StringToUlong	
GetStringLength	
GetLog2	
bonaire_load_ucode	
Bonaire_micro_engine_control_cp	
bonaire_micro_engine_control	
exec_GetEngineClock	
exec_GetMemoryClock	
gbase_init_vbios_call	
gbase_call_vbios_asic_init	
gbase_init_voltage_and_clocks	
gbase_set_engine_clock	
gbase_exec_vbios_cmd	
gbase_get_cu_masks	
bonaire_set_uvd_clock	
gbase_allocate_vmid	
gbase_release_vmid	
gbase_register_vm_interrupt_handler	
gbase_vm_interrupt_handler	
gbase_unregister_vm_interrupt_handler	
gbase_register_unmap_operation	
gbase_unregister_unmap_operation	
gbase_initialize	
gbase_read_register	
gbase_initialize_vm	
gbase_write_register	
gbase_set_prt_aperture	
gbase_finalize	
gbase_get_kernel_work_area	
gbase_alloc_vm_space	
gbase_free_vm_space	
gbase_unmap	
gbase_invalidate_tlb	
gbase_map	
gbase_unmap_one_directory	
gbase_vtophys	
gbase_vmid0_vtophys	
gbase_set_attr_to_ptes	
gbase_set_attr	
gbase_map_to_vmid0	
gbase_unmap_from_vmid0	
gbase_dump_page_tables	
gbase_dump_map	
gbase_check_vm_consistency	
gbase_gvmsw_open	
update_fragment_field	
gc_allocate_system_memory	
gbase_gvmsw_close	
gbase_gvmsw_map	
gbase_gvmsw_unmap	
gbase_gvmsw_protect	
gbase_gvmsw_set_attr	
gbase_gvmsw_set_prt	
gbase_gvmsw_invalidate_tlb	
gbase_samu_initialize	
gbase_samu_finalize	
gbase_samu_map	
gbase_samu_unmap	
gbase_samu_read_register	
gbase_samu_write_register	
gbase_samu_ix_read_register	
gbase_samu_ix_write_register	
gbase_samu_pa_to_gpuva	
gbase_initialize_ih	
gbase_irq_handler	
gbase_finalize_ih	
gbase_register_interrupt_handler	
gbase_unregister_interrupt_handler	
gbase_get_interrupt_count	
gc_open	
gc_close	
gc_ioctl	
gc_mmap	
filt_gc_attach	
filt_gc_detach	
filt_gc_event	
gc_get_submit_priv	
get_kernel_writeback_space	
gc_initialize	
gc_ih_tasklet_a0	
gc_ih_tasklet	
gc_interrupt	
gc_initialize_primary_ring	
gc_initialize_kernel_compute	
gc_start_unmap_operation	
gc_stop_unmap_operation	
gc_finalize_async	
gc_finalize_primary_ring	
gc_finalize	
gc_finalize_kernel_compute	
gc_register_submit_callback	
gc_unregister_submit_callback	
gc_register_eop_callback	
gc_unregister_eop_callback	
gc_map_ring_buffer	
gc_set_engine_clock	
gc_wait_for_gc_idle	
gc_initialize_hp3d_ring	
gc_clear	
gbase_init_video_memory_allocator	
gbase_allocate_video_memory	
gbase_free_video_memory	
gbase_video_cpu_pa_to_gpu_pa	
gbase_map_video_memory_to_cpu	
gbase_unmap_video_memory_from_cpu	
gbase_finalize_video_memory_allocator	
gbase_initialize_vmid0_va_allocator	
gbase_allocate_vmid0_gpu_va	
gbase_free_vmid0_gpu_va	
gbase_finalize_vmid0_va_allocator	
gbase_open	
gbase_close	
gbase_ioctl	
gbase_initialize_ioctl	
gbase_finalize_ioctl	
gbase_clear	
gbase_dump_cp_irq_regs	
gbase_dump_cp_regs	
gbase_dump_ih_regs	
gbase_dump_mc_vm_regs	
gbase_dump_vm_regs	
gbase_dump_cb_regs	
gbase_dump_config_regs	
gbase_dump_hdp_regs	
GC_PM4Ring_mcAddress	
GC_PM4Ring_sizeInBytes	
GC_PM4Ring_ring	
GC_PM4Ring_ringBase	
GC_PM4Ring_lFree	
GC_PM4Ring_ringSize	
GC_PM4Ring_maxPM4Alloc	
GC_PM4Ring_pvRingBuffer	
GC_PM4Ring_alignPM4SubmitMask	
GC_PM4Ring_enableRPTRWriteback	
GC_PM4Ring_disableRPTRWriteback	
GC_PM4Ring_isRPTRWritebackEnabled	
GC_PM4Ring_ringSpaceUsed	
GC_PM4Ring_clear	
GC_PM4Ring_create	
GC_PM4Ring_destroy	
GC_PM4Ring_allocRing	
GC_PM4Ring_requiredRingPad	
GC_PM4Ring_pm4Alignment	
GC_PM4Ring_wptr	
GC_PM4Ring_ringPad	
GC_PM4Ring_PM4alloc	
GC_PM4Ring_PM4allocComplex	
GC_PM4Ring_PM4release	
GC_PM4Ring_PM4queue	
GC_PM4Ring_PM4submit	
GC_PM4Ring_wait_for_wrap_pm4_buffer	
GC_PM4Ring_wait_pm4_buffer	
GC_PM4Ring_rptr	
GC_PM4Ring_allocScratchWritebackMemory	
GC_PM4Ring_freeScratchWritebackMemory	
GC_PM4Ring_initializeRing	
GC_PM4Ring_uninitializeRing	
GC_PM4Ring_check_wait_pm4_buffer	
GC_PM4Ring_check_wait_for_wrap_pm4_buffer	
gc_submit_indirect	
gc_submit_internal	
gc_switch_buffer_internal	
gc_pm4_meinit	
gc_pm4_meinit_hp3d	
gc_pm4_setbase	
gc_pm4_event_write_eop	
gc_register_ring_location	
CiBuffer_GetBufferSize	
CiBuffer_GetBuffer	
SiPacket_PreambleCntl	
SiPacket_SizePreambleCntl	
SiPacket_ContextControl	
SiPacket_SizeContextControl	
SiPacket_SetSeqContextRegs	
SiPacket_SizeSetSeqContextRegs	
SiPacket_SetOneContextReg	
SiPacket_SizeSetOneContextReg	
SiPacket_ClearState	
SiPacket_SizeClearState	
ProcessCommandProperties	
GetCommandMasterTablePointer	
GetDataMasterTablePointer	
GetTrueIndexInMasterTable	
ParseTable	
GetParametersDirect32	
GetParametersDirect16	
GetParametersDirect8	
PutDataRegister	
PutDataPS	
PutDataWS	
PutDataFB	
PutDataPLL	
PutDataMC	
GetParametersRegister	
GetParametersPS	
GetParametersWS	
GetParametersFB	
GetParametersPLL	
GetParametersMC	
SkipParameters16	
SkipParameters8	
GetParametersIndirect	
GetParametersDirect	
IndirectIOCommand	
IndirectIOCommand_CLEAR	
IndirectIOCommand_SET	
IndirectIOCommand_MOVE_INDEX	
IndirectIOCommand_MOVE_ATTR	
IndirectIOCommand_MOVE_DATA	
IndirectInputOutput	
GetByteDestIndex	
GetByteSrcIndex	
GetWordSrcIndex	
GetDWordSrcIndex	
CommonSourceDataTransformation	
CommonDestinationDataTransformation	
CommonOperationDataTransformation	
ProcessMove	
ProcessMask	
ProcessAnd	
ProcessOr	
ProcessXor	
ProcessShl	
ProcessShr	
ProcessADD	
ProcessSUB	
ProcessMUL	
ProcessDIV	
ProcessCompare	
ProcessClear	
ProcessShift	
ProcessTest	
ProcessSetFB_Base	
ProcessSwitch	
cmdSetDataBlock	
cmdSet_ATI_Port	
cmdSet_Reg_Block	
cmdSet_X_Port	
cmdDelay_Millisec	
cmdDelay_Microsec	
ProcessPostChar	
ProcessDebug	
ProcessDS	
cmdCall_Table	
cmdNOP_	
ProcessJump	
ProcessJumpE	
ProcessJumpNE	
NotImplemented	
CailReadATIRegister	
CailWriteATIRegister	
CailAllocateMemory	
CailReleaseMemory	
CailDelayMicroSeconds	
CailReadPCIConfigData	
CailWritePCIConfigData	
CailReadFBData	
CailWriteFBData	
CailReadPLL	
CailWritePLL	
CailReadMC	
CailWriteMC	
CailVideoDebugPrint	
DelayMilliseconds	
DelayMicroseconds	
PostCharOutput	
CallerDebugFunc	
ReadPCIReg8	
ReadPCIReg16	
ReadPCIReg32	
WritePCIReg8	
WritePCIReg16	
WritePCIReg32	
ReadSysIOReg8	
ReadSysIOReg16	
ReadSysIOReg32	
WriteSysIOReg8	
WriteSysIOReg16	
WriteSysIOReg32	
ReadReg32	
WriteReg32	
ReadIndReg32	
WriteIndReg32	
ReadRegIO	
WriteRegIO	
ReadFrameBuffer32	
WriteFrameBuffer32	
AllocateMemory	
ReleaseMemory	
ReadMC32	
WriteMC32	
ReadPLL32	
WritePLL32	
CD_print_string	
CD_print_value	
gc_initialize_eop_fifo	
gc_finalize_eop_fifo	
gc_initialize_hqd	
gc_finalize_hqd	
gc_map_hqd	
gc_unmap_hqd	
kernel_compute_alloc	
kernel_compute_submit	
kernel_compute_test	
kernel_compute_pm4_wait_mem	
kernel_compute_pm4_release_mem	
kernel_compute_pm4_indirect_buffer	
gc_init_suspend_resume	
gc_gui_idle_task_func	
gc_gui_idle_interrupt	
gc_add_to_softc_list	
gc_remove_from_process_list	
gc_find_softc_by_process	
gc_set_suspend_req	
gc_set_suspend_done	
gc_get_suspend_done	
gc_wake_suspend_resume	
gc_wait_unmapping	
gc_wait_suspend_done	
gc_imdone	
gc_imdone_tasklet	
gc_suspend_phase1_start_internal	
gc_suspend_phase1_end_internal	
gc_resume_phase1_start_internal	
dbggc_open	
dbggc_close	
dbggc_ioctl	
event_handler_0	
dbggc_clear	
dbggc_is_accessible_register_for_mesuaring_performance	
dbggc_initialize_exception_handler	
dbggc_exception_handler	
_dbggc_exception_handler	
dbggc_finalize_exception_handler	
sceRegMgrSetInt	
regMgrComApiPrologue	
regMgrComCheckParam	
regMgrComSetReg	
_regMgrComSetErrInfo	
regMgrComApiEpilogue	
sceRegMgrGetInt	
regMgrComCheckAddr	
regMgrComGetReg	
sceRegMgrSetStr	
sceRegMgrGetStr	
sceRegMgrSetBin	
sceRegMgrGetBin	
sceRegMgrGetIntInitVal	
memset	
regMgrGetInitVal	
sceRegMgrGetStrInitVal	
sceRegMgrGetBinInitVal	
sceRegMgrSetInitLevel	
regMgrMtxLockUntilCompInitHDD	
regMgrPutInitLevel	
sceRegMgrResetVal	
regMgrInitRegistry	
sceRegMgrGetVersion	
regMgrGetVersion	
sceRegMgrCheckError	
regMgrCheckError	
regMgrComInitModuleNVS	
regMgrInitRegFormNVS	
regMgrGetInitLevel	
regMgrResetInitLevel	
regMgrGetUpdateCnt	
regMgrGetWriteCnt	
regMgrComResetNVS	
regMgrSetUpdateCnt	
regMgrSetWriteCnt	
regMgrSetRegion	
regMgrInitReg	
regMgrUpdateNVS	
regMgrSetVersion	
regMgrCacheNVS	
regMgrComInitModuleHDD	
regMgrUpdateRegistry	
regMgrCacheRegistry	
regMgrComGetVolatileMode	
regMgrComLockMutex	
__curthread	
atomic_cmpset_long	
regMgrComUnlockMutex	
regMgrComSetVolatileMode	
regMgrComSetInternal	
regMgrComGetInternal	
regMgrComGetRegion	
regMgrComGetRegionStr	
regMgrComGetMachineType	
regMgrGetRegNum	
regMgrMemMalloc	
GetNVSPosition	
regMgrSpWrite	
regMgrMemFree	
regMgrSpRead	
regMgrGetCache	
regMgrCheckDBPos	
regMgrComTerm	
regMgrTermRegFormNVS	
regMgrPutEapReg	
sceRegMgrToolGetEntryCnt	
regMgrGetEntryCnt	
sceRegMgrToolGetUpdateCnt	
sceRegMgrToolGetWriteCnt	
sceRegMgrToolDataCheckGet	
sceRegMgrPrintLog	
sys_regmgr_call	
regMgrCnvRegId	
event_handler_1	
regmgr_preshutdown	
regMgrModuleStart	
regmgr_readynvs	
regMgrInitModule	
regMgrIsManufacturingMode	
regMgrMakeHashForEAP	
regMgrScramble	
regMgrEapDataSize	
regMgrPutRegion	
regMgrGetLine	
regMgrCheckKey	
regMgrSetInformationBase	
regMgrSetInformationType	
regMgrGetWordByFindMagicKey	
atoiwSpc	
regMgrSetInformation	
FindMagicKey	
CheckNewLine	
regMgrAddinSet	
memset_0	
addinSetUserName	
addinSetSerialId	
regMgrNvsInit	
regMgrNvsTerm	
regMgrNvsIsUseOK	
regMgrNvsRead	
memset_1	
wrappNvsRead	
regMgrNvsWrite	
wrappNvsWrite	
sceRegMgrPrivateStorageCount	
sceRegMgrPrivateStorageFileName	
sceRegMgrPrivateStorageMeasure	
sceRegMgrPrivateStorageInject	
sceRegMgrPrivateStorageRead	
sceRegMgrPrivateStorageStart	
regMgrPrivateStorageStart	
sceRegMgrPrivateStorageDirCount	
sceRegMgrPrivateStorageDirName	
sceRegMgrPrivateStorageDirPermit	
regMgrPrivateStorageInit	
memset_2	
regMgrPrivateStorageTerm	
regMgrPrivateStorageGetAddr	
regIoInit	
memset_3	
regIoTerm	
regIoSync	
regIoOpen	
selUID	
regIoClose	
regIoRead	
regIoWrite	
regIoLseek	
regIoRemove	
regMgrDrvInit	
memset_4	
accessInit	
accessLock	
accessUnlock	
regMgrDrvGetVersion	
regMgrDrvSetVersion	
regMgrDrvSetUpdateCnt	
regMgrDrvGetUpdateCnt	
regMgrDrvTerm	
regMgrDrvRemove	
regMgrDrvCntOpen	
regMgrDrvCntUpdate	
searchRegDrvCnt	
regMgrDrvCntClose	
regMgrDrvDataOpen	
regMgrDrvDataGet	
regMgrDrvDataClose	
regMgrDrvDataSet	
dataHeadGet	
dataHeadSet	
sceRegMgrDrvGetEntCnt	
sceRegMgrDrvGetUpdateCnt	
sceRegMgrDrvDataOpen	
sceRegMgrDrvDataCheckGet	
sceRegMgrDrvDataClose	
__curthread_0	
atomic_cmpset_long_0	
regCompatBeforeInit	
regCompatBeforeInitHdd	
sceRegMgrSrvGetRegion	
sceRegMgrSrvGetRegionStr	
regMgrSrvCnvRegionStr	
sceRegMgrSrvCnvRegionStr	
sceRegMgrSrvCnvRegionInt	
sceRegMgrSrvGetMachineType	
sceRegMgrSrvGetQAFforReg	
regMgrSpInit	
memset_5	
regMgrSpSetRegCheck	
regMgrSpWriteNVSwHDD	
dce_regRead	
_regGetOffset	
dce_regWrite	
dce_garlic_flush_req	
dcp_get_grph_enable	
dce_dcp_get_grph_depth	
dce_dcp_set_grph_depth	
_Bpp_to_grph_depth	
dce_dcp_set_grph_format	
_pixelformat_format_to_grph_format_field_value	
_pixelformat_bpp_to_real_bpp	
set_tile_bits	
dce_dcp_set_grph_viewport	
dce_dcp_set_grph_x_start	
dce_dcp_set_grph_x_end	
dce_dcp_set_grph_y_start	
dce_dcp_set_grph_y_end	
dce_dcp_get_grph_dfq_size	
dce_dcp_set_grph_dfq_size	
dce_dcp_get_grph_dfq_num_entries	
dce_dcp_get_grph_flip_rate	
dce_dcp_set_grph_flip_rate	
dce_dcp_get_grph_lateflip_enable	
dce_dcp_set_grph_lateflip_enable	
dce_dcp_get_grph_lateflip_window_after	
dce_dcp_set_grph_lateflip_window_after	
dce_dcp_get_grph_lateflip_window_before	
dce_dcp_set_grph_lateflip_window_before	
dce_dcp_get_grph_hretrace_en	
dce_dcp_get_grph_dfq_enable	
get_grph_surface_address_inuse	
dce_dcp_get_grph_pitch	
dce_dcp_set_grph_pitch	
dce_dcp_get_grph_mode_update_pending	
dce_dcp_get_grph_mode_update_taken	
dce_dcp_get_grph_surface_update_pending	
dce_dcp_get_grph_surface_update_taken	
dce_dcp_get_grph_x_start	
dce_dcp_get_grph_x_end	
dce_dcp_get_grph_y_start	
dce_dcp_get_grph_y_end	
dce_dcp_get_grph_width	
dce_dcp_get_grph_height	
dce_dcp_get_grph_update_lock	
dce_dcp_get_grph_mode_disable_multiple_update	
dce_dcp_get_grph_surface_disable_multiple_update	
dce_dcp_set_grph_surface_disable_multiple_update	
get_current_gpu_clock	
dce_dcp_set_grph_hretrace_en	
dce_dcp_queue_grph_surface_address	
dce_dcp_queue_grph_secondary_surface_address	
dce_dcp_get_vcounter	
dce_dcp_get_vtotal	
dce_is_crtc_stereo	
dce_dcp_enable_dcp_crc	
dce_dcp_enable_dcp_crc_source_dcp_output	
dce_dcp_disable_dcp_crc	
dce_dcp_get_dcp_crc	
dce_dcp_set_grph_stereo_sync_flip_mode	
dce_dcp_lock_grph_update	
dce_dcp_unlock_grph_update	
dce_dcp_set_surface_ignore_update_lock	
dce_dcp_set_output_csc_graph_mode_bypass	
dce_dcp_set_output_csc_graph_mode	
dce_dcp_set_input_csc_graph_mode_bypass	
dce_dcp_set_input_csc_graph_mode_input_csc	
dce_dcp_set_input_csc_graph_mode_comm_matrix_a	
dce_dcp_get_input_csc_graph_mode	
dce_dcp_get_output_csc_graph_mode	
dce_dcp_update_grph_input_csc	
_update_input_csc_matrix	
dce_dcp_update_grph_output_csc	
_update_output_csc_matrix	
dce_dcp_update_grph_denorm	
dce_dcp_set_grph_input_gamma_control_bypass	
dce_dcp_set_grph_input_gamma_control_lut	
dce_dcp_set_grph_input_gamma_control_srgb	
dce_dcp_set_grph_input_gamma_control_xvycc	
dce_dcp_set_grph_degamma_control_bypass	
dce_dcp_set_grph_gamut_remap_control_bypass	
dce_dcp_set_grph_prescale_bypass	
dce_dcp_set_grph_prescale_init	
dce_dcp_set_grph_regamma_control_bypass	
_set_grph_regamma_control	
dce_dcp_set_grph_regamma_control_srgb	
dce_dcp_set_grph_regamma_control_xvycc	
dce_dcp_set_grph_regamma_control	
dce_dcp_program_output_csc_params	
dce_dcp_program_input_csc_params	
dce_dcp_program_comm_matrix_a_params	
dce_dcp_program_comm_matrix_b_params	
dce_dcp_mute_unlock	
dce_dcp_mute_lock	
dce_enable_crtc_mute	
enable_crtc_mute	
dce_disable_crtc_mute	
disable_crtc_mute	
dce_dcp_set_ext_overscan	
dcp_set_ext_overscan	
dce_dcp_disable_pipe_mute	
dcp_set_grph_enable	
dce_dcp_enable_pipe_mute	
dcp_set_grph_disable	
dcp_set_ext_overscan_for_mute	
dcp_crtc_mode_change	
dce_dcp_set_output_csc_range	
crtc_set_overscan_color_by_range	
dce_dpc_read_crtc_mode	
dce_dcp_calculate_color_conversion	
dce_dcp_set_color_conversion	
dce_dcp_update_grph_prescale	
dce_dcp_update_gamma	
dce_dcp_init_state	
lb_init	
dce_init_state	
init_blnd_control	
dce_dcp_get_grph_regamma_mode	
crtc_set_overscan_color	
dcp_set_ext_overscan_tb_with_lock	
dce_dcp_set_output_csc_graph_mode_output_csc	
dce_dcp_set_output_csc_graph_mode_comm_matrix_b	
dce_open	
dce_close	
dce_ioctl	
dce_mmap	
dce_kqfilter	
dce_mmap_single	
filt_dceattach	
filt_dcedetach	
filt_dceevent	
dce_trigger_software_interrupt	
trigger_knl	
dce_clear_process_knotes	
dce_suspend_phase1_start	
dce_suspend_phase1_end	
__curthread_1	
atomic_cmpset_long_1	
atomic_store_rel_long	
dce_suspend_phase1_main	
dce_suspend_phase0	
dce_resume_phase0	
dce_resume_phase1_start	
dce_resume_phase1_end	
dce_resume_phase3	
event_handler_2	
dce_initialize	
dce_finalize	
find_knote_attached	
dce_ih_tasklet	
interrupt	
interrupt_scanin	
ih_enqueue	
ih_get	
rdtsc_0	
ih_dequeue	
memset_6	
dce_clear	
dce_flip_lock_mutex	
LOCK_DEF_sub	
dce_flip_unlock_mutex	
UNLOCK_DEF_sub	
dce_flip_sleep_mutex	
MSLEEP_DEF_sub	
dce_flip_suspend_state_wait	
MSLEEP_DEF_NO_SUSPEND_IN_TRANSITION	
dce_flip_resume_finished	
WAKEUP_DEF_sub	
dce_flip_is_suspend_ready	
dce_flip_suspend_start	
add_event_queue_sub	
trigger_taskqueue	
dce_flip_resume_start	
dump_lock	
dce_flip_is_resume_ready	
dce_flip_init	
get_tsc_freq	
crtc_status_init	
flip_taskq_init	
flip_task_thread_main	
dce_flip_finish	
memset_7	
dps_init	
pflip_callback	
vblank_end_callback	
eop_callback	
dce_flip_clear	
trigger_task_thread_finish	
dps_finish	
flip_taskq_finish	
remove_flip_thread	
dce_flip_get_display_buffer_status	
dce_flip_ioctl	
ioctl_submit_request	
ioctl_register_buffer	
ioctl_register_buffer_attribute	
ioctl_flip_control	
dce_flip_mmap_single	
__curthread_2	
dce_flip_mmap	
target_to_dps	
dce_flip_open	
add_flip_target	
remove_flip_target	
fc_unregister_buffer	
fc_unregister_buffer_attribute	
fc_set_flip_rate	
fc_set_flip_window	
fc_get_user_data_offset	
fc_get_flip_status	
fc_get_vblank_status	
fc_get_vblank_status_by_target	
set_mode_start	
set_mode_finish	
fc_get_resolution_status	
fc_set_display_parameters_by_target	
fc_submit_subwindow_layout	
fc_set_scaler_params	
fc_get_scaler_setup	
fc_set_color_adjustment	
port_to_ft_with_wait_resume	
update_thread_color_conversion	
port_to_ft	
ft_to_dps	
calc_overscan_size	
get_resolution_status	
enable_pipe_mute	
clear_scaler_setting	
update_crtc_mode	
target_to_thread	
get_vblank_status	
_event_queue_get_queued_num	
ft_to_pipe_id	
get_output_height	
flip_thread_init	
bind_flip_thread_to_target	
bind_flip_thread_to_dce_pipe	
ring_buffer_init	
_event_queue_find	
_event_queue_init	
convert_ioctl_buffer_attribute_to_dce_buffer_attribute	
convert_ioctl_buffer_to_dce_buffer	
convert_submit_info_to_dce_info	
flip_info_add	
submit_flip_request	
flip_info_remove	
submit_eop_flip_request	
is_full_in_event_queue	
set_info_status	
add_event_queue	
_event_queue_is_full	
init_info	
execute_task_submit	
record_ts	
is_empty_in_event_queue	
flip_to_register	
update_flip_mode	
write_surface_address_register_with_attribute	
write_surface_address_register	
update_subwindow	
write_attribute_register	
disable_pipe_mute	
write_scaler_registers	
write_flip_mode_register	
_event_queue_is_empty	
find_empty_info	
get_current_tsc_msec	
clear_flip_thread	
flip_task_remove_ft	
LOCK_RBW_SPIN	
UNLOCK_RBW_SPIN	
atomic_store_rel_long_0	
atomic_cmpset_long_2	
clear_thread_target	
clear_thread_target_from_dce	
reset_dps_status	
init_user_data	
rdtsc_1	
WAKEUP_SPIN	
LOCK_SPIN	
UNLOCK_SPIN	
find_eop_thread	
check_task_thread_finish	
wait_next_task	
get_next_task	
execute_task	
get_info_from_event_queue	
execute_task_eop	
execute_task_pflip	
execute_task_vupdate	
execute_task_pflip_timer	
execute_task_dfq_pop	
execute_task_suspend_resume	
clear_info_from_event_queue	
_event_queue_proceed_rptr	
flip_task_enqueue	
ring_buffer_if_full	
ring_buffer_next_wptr	
ring_buffer_next_entry	
flip_flipped	
flip_set_current_surface	
free_surface	
is_flip_pending_bit_raised	
set_flip_pending_timer	
pflip_timer_callback	
find_info_matches_eop_handle	
_event_queue_get_next	
ring_buffer_if_empty	
ring_buffer_next_rptr	
ring_buffer_inc_rptr	
MSLEEP_SPIN	
_event_queue_add	
dce_scl_set_bypass	
scl_update_lock	
scl_update_unlock	
dce_scl_set_multitap	
dce_scl_set_viewport	
scl_set_scaling	
scl_program_lut	
scl_coef_update_finished	
dce_scl_set_bilinear	
scl_set_2tap	
dce_scl_init_params	
dce_scl_init	
scl_write_tap_data	
dce_ih_event_attach	
attach	
dce_ih_event_detach	
detach	
dce_ih_event	
get_matching_event_id	
dce_ih_initialize	
__curthread_3	
atomic_cmpset_long_3	
dce_ih_finalize	
dce_ih_process_interrupt_hw	
convert_hwtimestamp	
dce_ih_convert_hint	
dce_ih_process_interrupt_sw	
dce_ih_ioctl_get_info	
dce_ih_ioctl_set_info	
dce_ih_remove_kernel_callback	
dce_ih_add_kernel_callback	
dce_ihdef_kernel_callback_initialize	
get_kernel_callback	
dce_ihdef_kernel_callback_finalize	
dce_ihdef_add_kernel_callback	
dce_ihdef_remove_kernel_callback	
dce_ihdef_process_interrupt	
dce_ihdef_clear_interrupt_internal	
dce_ihdef_record_interrupt	
dce_ihdef_call_kernel_callbacks	
dce_ihdef_init_interrupt	
dce_ihdef_enable_interrupt	
disable_interrupt_mask	
set_interrupt_type	
clear_interrupt_register	
enable_interrupt_mask	
dce_ihdef_disable_interrupt	
dce_ihdef_get_info	
dce_ihdef_set_info	
dce_ihdef_get_intr_id	
dce_ihdef_get_intr_id_num	
dce_ihdef_get_event_id	
dce_ihdef_get_pipe_id	
dce_ihdef_get_intr_id_with_ih_id	
dce_ihdef_get_name_with_intr_id	
dce_ihdef_record_initialize	
dce_ihdef_record_finalize	
dce_ihdef_pflip_handler	
record_handler	
dce_ihdef_vupdate_handler	
dce_ihdef_sw_interrupt_handler	
dce_ihdef_flipped_handler	
dce_ihdef_scanin_handler	
dce_ih_get_offset	
dce_ih_rmw_register	
record_init	
record_event	
record_get_data	
__curthread_4	
atomic_cmpset_long_4	
rdtsc_2	
dce_ihdef_get_info_line_tahiti	
dce_ihdef_set_info_line_tahiti	
dce_ihdef_get_info_crtc_linea_liverpool	
dce_ihdef_set_info_crtc_linea_liverpool	
dce_ihdef_get_info_crtc_field_tahiti	
dce_ihdef_get_info_crtc_stereo_tahiti	
scanin_dump_buf	
dce_scanin_start	
start_scanin	
dce_scanin_stop	
stop_scanin	
dce_scanin_configure_mode	
input_color_space_to_s	
output_color_space_to_s	
setup_color_pipe	
dce_scanin_set_input_format	
dce_scanin_start_bufmgr	
set_scanin_buffers	
start_bufmgr	
dce_scanin_stop_bufmgr	
stop_bufmgr	
dce_scanin_exec_command	
mcif_bufmgr_sw_lock	
dce_scanin_lock_latest_buf	
dce_scanin_unlock_buf	
dce_scanin_get_buffer_info	
dce_scanin_start_dual_scanin_mode	
FNV32	
set_cnv_update_lock	
mcif_scanin_disable	
mcif_bufmgr_enable	
mcif_bufmgr_set_pitch	
mcif_bufmgr_set_buffers	
mcif_dba	
set_scaler_coef	
write_coeffs	
si_enable	
set_cnv_frame_en	
perfDisableInterrupts	
EnableHostPerfmonInterrupts	
EnableuCPerfmonInterrupts	
ClearHostPerfmonInterrupts	
perfEnableInterrupts	
perfSetDualScaninPerfCntInts	
maskCounterOffSignal	
SleepMs	
setCounterEvent	
unmaskCounterOffSignal	
setHWCountMode	
setCounterOffTrigger	
setPerfcounterRestart	
enableCounterOffRestart	
enableIndividualState	
setInterruptEnable	
setInterruptType	
EnablePerfmonCounterOffInterrupt	
SetCntoffIntType	
setAggregateMode	
setHwCounter	
setHWCValueLimit	
setGlobalMonitorState	
getMaxCounterSel	
dmcuInit	
dmcuLoadFirmware	
loadDmcuFW	
dmcu_ctrl_init	
loadFirmware	
dmcu_ctrl_reset	
isFWinWaitmode	
SleepMs_0	
ehostAccess	
eautoIncrement	
ebyteMode	
eRAMWriteAutoCtrl	
eRAMReadAutoCtrl	
iRAMWriteAutoCtrl	
iRAMReadAutoCtrl	
byteReaderInit	
ebyteEnable	
byteReaderReadU16	
byteReaderReadU8	
ewrite	
hdac_validation_open	
hdac_validation_close	
hdac_validation_reg_ioctl	
hdac_validation_reg_mmap	
hdac_validation_dp_ioctl	
hdac_validation_dp_mmap	
hdac_validation_corb_ioctl	
hdac_validation_corb_mmap	
hdac_validation_rirb_ioctl	
hdac_validation_rirb_mmap	
hdac_patch_f0	
_hdac_read_ep	
_hdac_write_ep	
hdac_patch_f2	
hdac_channel_init	
hdac_channel_setformat	
hdac_channel_setspeed	
hdac_channel_setblocksize	
hdac_channel_setfragments	
hdac_channel_trigger	
hdac_channel_getptr	
hdac_channel_getcaps	
hdac_channel_setcontrol	
hdac_channel_setcopycontrol	
hdac_audio_ctl_ossmixer_init	
hdac_audio_ctl_ossmixer_set	
hdac_audio_ctl_ossmixer_setrecsrc	
hdac_probe	
hdac_attach	
hdac_detach	
hdac_suspend	
hdac_resume	
hdac_print_child	
hdac_pcm_probe	
hdac_pcm_attach	
hdac_pcm_detach	
hdac_dump_ctls	
hdac_dump_dst_nid	
hdac_dump_audio_formats	
hdac_reset	
hdac_corb_init	
hdac_rirb_init	
hdac_poll_callback	
hdac_powerup	
hdac_audio_commit	
hdac_hp_switch_init	
hdac_channel_start	
hdac_stream_stop	
hdac_poll_reinit	
hda_poll_callback	
hdac_hp_switch_handler	
hdac_jack_poll_callback	
hdac_audio_ctl_amp_set	
hdac_rirb_flush	
hdac_unsolq_flush	
hdac_channel_stop	
hdac_irq_free	
hdac_unsolq_task	
hdac_dma_alloc	
hdac_attach2	
hdac_audio_disable_useless	
sysctl_hdac_polling	
sysctl_hdac_polling_interval	
sysctl_hdac_pindump	
hdac_audio_ctl_source_amp	
hdac_audio_ctl_dest_amp	
hdac_audio_trace_as_out	
hdac_audio_trace_to_out	
hdac_audio_trace_adc	
hdac_audio_undo_trace	
hdac_audio_trace_dac	
hdac_dma_cb	
hdac_intr_handler	
hdac_audio_ctl_recsel_comm	
hdac_command_send_internal	
channel_nofree	
channel_noreset	
channel_noresetdone	
channel_nosetfragments	
channel_nosetcontrol	
channel_nosetcopycontrol	
channel_nogetptr	
channel_nonotify	
channel_nogetpeaks	
channel_nogetrates	
channel_nogetmatrix	
channel_nosetmatrix	
feeder_noinit	
feeder_nofree	
feeder_noset	
feeder_noget	
mixer_noreinit	
snd_timestamp	
snd_clone_create	
snd_clone_busy	
snd_clone_enable	
snd_clone_disable	
snd_clone_getsize	
snd_clone_getmaxunit	
snd_clone_setmaxunit	
snd_clone_getdeadline	
snd_clone_setdeadline	
snd_clone_gettime	
snd_clone_getflags	
snd_clone_setflags	
snd_clone_getdevtime	
snd_clone_getdevflags	
snd_clone_setdevflags	
snd_clone_gc	
snd_clone_destroy	
snd_clone_acquire	
snd_clone_release	
snd_clone_ref	
snd_clone_unref	
snd_clone_register	
snd_clone_alloc	
snd_max_u	
snd_max_d	
snd_max_c	
snd_unit2u	
snd_unit2d	
snd_unit2c	
snd_u2unit	
snd_d2unit	
snd_c2unit	
snd_mkunit	
snd_unit_init	
feeder_register	
feeder_getclass	
chn_addfeeder	
chn_removefeeder	
chn_findfeeder	
snd_fmtscore	
snd_fmtbestbit	
snd_fmtbestfunc	
snd_fmtbestchannel	
snd_fmtbest	
feeder_printchain	
feed_root	
feeder_unregisterall	
z_resampler_init	
z_resampler_free	
z_resampler_set	
z_resampler_get	
z_resampler_feed	
z_resampler_setup	
z_coeff_interpolate	
z_feed_zoh	
z_feed_linear_S16LE	
z_feed_sinc_S16LE	
z_feed_sinc_polyphase_S16LE	
z_feed_linear_S32LE	
z_feed_sinc_S32LE	
z_feed_sinc_polyphase_S32LE	
sysctl_hw_snd_feeder_rate_quality	
sysctl_hw_snd_feeder_rate_round	
sysctl_hw_snd_feeder_rate_max	
sysctl_hw_snd_feeder_rate_min	
feed_volume_init	
feed_volume_free	
feed_volume_set	
feed_volume_feed	
feeder_volume_apply_matrix	
feed_volume_S16LE	
feed_volume_S32LE	
feeder_chain	
feeder_build_mixer	
feeder_build_eq	
feeder_build_rate	
feeder_build_matrix	
feeder_build_volume	
feeder_build_format	
feeder_eq_validrate	
feed_eq_init	
feed_eq_free	
feed_eq_set	
feed_eq_feed	
feeder_eq_initsys	
feed_eq_scan_preamp_arg	
sysctl_dev_pcm_eq	
sysctl_dev_pcm_eq_preamp	
feed_eq_biquad_S16LE	
feed_eq_biquad_S32LE	
feed_format_init	
feed_format_free	
feed_format_set	
feed_format_feed	
feeder_format_read_op	
feeder_format_write_op	
intpcm_read_S8NE	
intpcm_write_S8NE	
intpcm_read_S16LE	
intpcm_write_S16LE	
intpcm_read_S24LE	
intpcm_write_S24LE	
intpcm_read_S32LE	
intpcm_write_S32LE	
intpcm_read_S16BE	
intpcm_write_S16BE	
intpcm_read_S24BE	
intpcm_write_S24BE	
intpcm_read_S32BE	
intpcm_write_S32BE	
intpcm_read_U8NE	
intpcm_write_U8NE	
intpcm_read_U16LE	
intpcm_write_U16LE	
intpcm_read_U24LE	
intpcm_write_U24LE	
intpcm_read_U32LE	
intpcm_write_U32LE	
intpcm_read_U16BE	
intpcm_write_U16BE	
intpcm_read_U24BE	
intpcm_write_U24BE	
intpcm_read_U32BE	
intpcm_write_U32BE	
intpcm_read_ulaw	
intpcm_write_ulaw	
intpcm_read_alaw	
intpcm_write_alaw	
intpcm_read_null	
intpcm_write_null	
feed_matrix_init	
feed_matrix_free	
feed_matrix_feed	
feeder_matrix_setup	
feed_matrix_setup	
feeder_matrix_default_id	
feeder_matrix_default_channel_map	
feeder_matrix_default_format	
feeder_matrix_format_id	
feeder_matrix_format_map	
feeder_matrix_id_map	
feeder_matrix_compare	
feeder_matrix_oss_get_channel_order	
feeder_matrix_oss_set_channel_order	
feed_matrix_S16LE	
feed_matrix_S32LE	
feed_mixer_init	
feed_mixer_set	
feed_mixer_feed	
feed_mixer_S8NE	
feed_mixer_S16LE	
feed_mixer_S32LE	
feed_mixer_S16BE	
feed_mixer_S32BE	
feed_mixer_S24LE	
feed_mixer_S24BE	
feed_mixer_U8NE	
feed_mixer_U16LE	
feed_mixer_U24LE	
feed_mixer_U32LE	
feed_mixer_U16BE	
feed_mixer_U24BE	
feed_mixer_U32BE	
sndbuf_create	
sndbuf_destroy	
sndbuf_free	
sndbuf_getbufaddr	
sndbuf_alloc	
sndbuf_setmap	
sndbuf_resize	
sndbuf_setup	
sndbuf_reset	
sndbuf_remalloc	
sndbuf_clear	
sndbuf_zerodata	
sndbuf_getfreeptr	
sndbuf_getbuf	
sndbuf_fillsilence	
sndbuf_softreset	
sndbuf_clearshadow	
sndbuf_getfmt	
sndbuf_setfmt	
sndbuf_getspd	
sndbuf_setspd	
sndbuf_getalign	
sndbuf_getblkcnt	
sndbuf_setblkcnt	
sndbuf_getblksz	
sndbuf_setblksz	
sndbuf_getbps	
sndbuf_getbufofs	
sndbuf_getsize	
sndbuf_getmaxsize	
sndbuf_getallocsize	
sndbuf_runsz	
sndbuf_setrun	
sndbuf_getsel	
sndbuf_getxrun	
sndbuf_setxrun	
sndbuf_gethwptr	
sndbuf_sethwptr	
sndbuf_getready	
sndbuf_getreadyptr	
sndbuf_getfree	
sndbuf_getblocks	
sndbuf_getprevblocks	
sndbuf_gettotal	
sndbuf_getprevtotal	
sndbuf_updateprevtotal	
sndbuf_xbytes	
sndbuf_acquire	
sndbuf_dispose	
sndbuf_feed	
sndbuf_dump	
sndbuf_getflags	
sndbuf_setflags	
chn_write	
chn_start	
chn_read	
chn_intr_locked	
chn_intr	
chn_trigger	
chn_resetbuf	
chn_sync	
chn_poll	
chn_polltrigger	
chn_abort	
chn_dmaupdate	
chn_flush	
snd_fmtvalid	
snd_str2afmt	
snd_afmt2str	
chn_reset	
chn_setparam	
chn_setformat	
chn_setspeed	
chn_setlatency	
chn_init	
chn_vpc_reset	
chn_lockdestroy	
chn_kill	
chn_setvolume	
chn_setvolume_matrix	
chn_setvolume_multi	
chn_getvolume_matrix	
chn_getmatrix	
chn_setmatrix	
chn_oss_getorder	
chn_oss_setorder	
chn_oss_getmask	
chn_getcaps	
chn_resizebuf	
chn_setblocksize	
chn_setcontrol	
chn_setcopycontrol	
chn_syncstate	
chn_getptr	
chn_getformats	
chn_notify	
chn_getrates	
chn_syncdestroy	
chn_calclatency	
chn_wakeup	
sysctl_hw_snd_vpc_reset	
chn_vpc_proc	
sysctl_hw_snd_vpc_0db	
sysctl_hw_snd_latency_profile	
sysctl_hw_snd_latency	
dsp_open	
dsp_close	
dsp_read	
dsp_write	
dsp_ioctl	
dsp_poll	
dsp_mmap	
dsp_cdevinfo_init	
dsp_cdevinfo_flush	
dsp_unit2name	
dsp_oss_audioinfo	
dsp_sysuninit	
dsp_sysinit	
dsp_clone	
getchns	
relchns	
dsp_ioctl_channel	
dsp_io_ops	
dsp_cdevinfo_alloc	
mixer_open	
mixer_close	
mixer_ioctl	
mix_setdevs	
mix_setrecdevs	
mix_setparentchild	
mix_setrealdev	
mix_getparent	
mix_getchild	
mix_getdevs	
mix_getrecdevs	
mix_getdevinfo	
mixer_delete	
mixer_create	
mixer_obj_create	
mixer_init	
mixer_set	
mixer_setrecsrc	
mixer_uninit	
mixer_reinit	
mixer_hwvol_init	
sysctl_hw_snd_hwvol_mixer	
mixer_hwvol_mute	
mixer_hwvol_step	
mixer_busy	
mix_set	
mix_get	
mix_setrecsrc	
mix_getrecsrc	
mix_get_type	
mixer_ioctl_cmd	
mixer_oss_mixerinfo	
mixer_get_lock	
mixer_sysuninit	
mixer_sysinit	
mixer_clone	
mixer_set_softpcmvol	
sndstat_open	
sndstat_close	
sndstat_read	
sndstat_acquire	
sndstat_release	
sndstat_register	
sndstat_registerfile	
sndstat_unregister	
sndstat_unregisterfile	
sndstat_sysuninit	
sndstat_sysinit	
sysctl_hw_sndverbose	
snd_mtxcreate	
snd_mtxfree	
snd_mtxassert	
snd_setup_intr	
pcm_setvchans	
pcm_clonereset	
pcm_chnalloc	
pcm_chnrelease	
pcm_chnref	
pcm_inprog	
pcm_chn_create	
pcm_chn_destroy	
pcm_chn_add	
pcm_chn_remove	
pcm_addchan	
pcm_setstatus	
pcm_setmaxautovchans	
pcm_getflags	
pcm_setflags	
pcm_getdevinfo	
pcm_getbuffersize	
pcm_register	
sysctl_dev_pcm_bitperfect	
sndstat_prepare_pcm	
pcm_unregister	
sound_oss_sysinfo	
sound_oss_card_info	
sound_modevent	
sysctl_hw_snd_maxautovchans	
sysctl_hw_snd_default_unit_orbis	
vchan_init	
vchan_free	
vchan_setformat	
vchan_setspeed	
vchan_trigger	
vchan_getcaps	
vchan_getmatrix	
vchan_create	
vchan_destroy	
vchan_sync	
vchan_initsys	
sysctl_dev_pcm_vchans	
sysctl_dev_pcm_vchanmode	
sysctl_dev_pcm_vchanrate	
sysctl_dev_pcm_vchanformat	
pcm_getparentchannel	
sndbuf_dmasetup	
sndbuf_dmasetdir	
sndbuf_dma	
sndbuf_dmaptr	
sndbuf_dmabounce	
uaudio_chan_record_callback	
uaudio_chan_play_callback	
uaudio_mixer_write_cfg_callback	
uaudio_probe	
uaudio_attach	
uaudio_detach	
uaudio_attach_sub	
uaudio_detach_sub	
uaudio_chan_init	
uaudio_chan_free	
uaudio_chan_set_param_blocksize	
uaudio_chan_set_param_fragments	
uaudio_chan_set_param_speed	
uaudio_chan_getptr	
uaudio_chan_getcaps	
uaudio_chan_getmatrix	
uaudio_chan_set_param_format	
uaudio_chan_start	
uaudio_chan_stop	
uaudio_mixer_init_sub	
uaudio_mixer_uninit_sub	
uaudio_mixer_set	
uaudio_mixer_setrecsrc	
uaudio_mixer_verify_desc	
uaudio_mixer_find_inputs_sub	
uaudio_mixer_determine_class	
uaudio_mixer_add_ctl	
uaudio_mixer_get	
uaudio_mixer_get_cluster	
ua_chan_init	
ua_chan_free	
ua_chan_setformat	
ua_chan_setspeed	
ua_chan_setblocksize	
ua_chan_setfragments	
ua_chan_trigger	
ua_chan_getptr	
ua_chan_getcaps	
ua_chan_getmatrix	
ua_mixer_init	
ua_mixer_uninit	
ua_mixer_set	
ua_mixer_setrecsrc	
ua_probe	
ua_attach	
ua_detach	
sceKmdUvdIsValidHardware	
sceKmdUvdInitClock	
sceKmdUbdInitCacheWindow	
sceKmdUvdStartEngine	
sceKmdUvdStopEngine	
sceKmdUvdSubmitTask	
sceKmdUvdGetLastTimestamp	
sceGpKmdAllocSystemMemory	
sceGpKmdBusDmaCallBack	
sceGpKmdMapSystemMemory	
sceGpKmdVirtualToPhysical	
kmdUvdCreateDev	
kmdUvdDestroyDev	
kmdUvdAllocateMem	
kmdUvdFreeMem	
kmdUvdCreateLock	
kmdUvdDestroyLock	
kmdUvdLock	
kmdUvdUnlock	
kmdUvdIhInitKnlistMtx	
kmdUvdIhInitKnlist	
kmdUvdIhDestroyKnlist	
kmdUvdIhKqueueAddFilteropts	
kmdUvdIhKqueueDelFilteropts	
KmdUvdIhRegisterInterruptHandler	
kmdUvdIhUnregisterInterruptHandler	
kmdUvdReadAtomicInc	
kmdUvdReadAtomicDec	
kmdUvdSetAtomicValue	
kmdUvdReadRegister	
kmdUvdWriteRegister	
kmdUvdReadIndReg	
kmdUvdWriteIndReg	
kmdUpdateCtxIndRegisters	
kmdReadCtxIndRegisters	
kmdUvdDelay	
kmdUvdWaitRegValue	
kmdUvdMemcpy	
kmdUvdMemset	
kmdUvdMemcmp	
kmdUvdCopyin	
kmdCopyOut	
gpKmdOpen	
gpKmdClose	
gpKmdIoctl	
gpKmdEventHandler	
sceUvdClockSetting	
uvdIoctlFwInit	
sceKmdFilterAttach	
sceKmdFilterDetach	
sceKmdFilterEvent	
sceKmdUvdInitInterrupt	
sceKmdUvdInterruptTasklet	
sceKmdInterruptHandler	
sceKmdUvdExitInterrupt	
sceUvdInit	
sceUvdExit	
sceGpKmdUveCreateEntry	
sceUvdIoctlCommand	
sceGpKmdEventHandler	
SceGpKmdOpen	
SceGpKmdClose	
SceGpKmdIoctl	
SceGpKmdInterrupt	
SceGpKmdIhFilterAttach	
SceGpKmdIhFilterDetach	
SceGpKmdIhFilterEvent	
sceKmdVceIsValidHardware	
sceKmdVceInitClock	
sceKmdVceInitCacheWindow	
sceKmdVceStartEngine	
sceKmdVceStopEngine	
sceKmdVceInitRingBuffer	
sceKmdVceSubmitTask	
sceKmdVceGetLastTimestamp	
sceKmdVceInterruptTasklet	
kmdVceCreateDev	
kmdVceDestroyDev	
kmdVceAllocateMem	
kmdVceFreeMem	
kmdAllocSystemMemory	
kmdBusDmaCallBack	
kmdMapSystemMemory	
kmdVceCreateLock	
kmdVceDestroyLock	
kmdVceLock	
kmdVceUnlock	
kmdVceIhInitKnlistMtx	
kmdVceIhInitKnlist	
kmdVceIhDestroyKnlist	
kmdVceIhKqueueAddFilteropts	
kmdVceIhKqueueDelFilteropts	
KmdVceIhRegisterInterruptHandler	
kmdVceIhUnregisterInterruptHandler	
kmdVceReadAtomicInc	
kmdVceReadAtomicDec	
kmdVceSetAtomicValue	
kmdVceReadRegister	
kmdVceWriteRegister	
kmdVceReadIndReg	
kmdVceWriteIndReg	
kmdVceDelay	
kmdVceWaitRegValue	
kmdVceWaitIndRegValue	
kmdVceMemcpy	
kmdVceMemset	
kmdVceMemcmp	
kmdVceCopyin	
kmdVceBzero	
bonaire_set_eclk	
setup_vce_clock_gating_mode	
kmdVceUpdateInternalClockGating	
kmdVceInitClocks	
setup_vce_cache_windows	
program_vcepll_spread_spectrum	
vcePgfsmPowerUp	
kmdVceStartEngine	
kmdVceStopEngine	
kmdVceInitCacheWindow	
kmdVceInitCacheWindow_vm	
kmdVceInitRingBuffer	
kmdVceSubmitTask	
ajmInterfaceOpen(cdev *,int,int,thread *)	
ajmInterfaceClose(cdev *,int,int,thread *)	
ajmInterfaceIoctl(cdev *,ulong,char *,int,thread *)	
ajmInterfaceLoader(module *,int,void *)	
ajmInterfaceClear(void *)	
ajmSuspendRegisterHandlers	
ajmSuspendPhase2(void *,proc *)	
ajmSuspendPhase1Start(void *,proc *)	
ajmSuspendPhase1End(void *,proc *)	
ajmSuspendPhase1Main(void *,proc *)	
ajmSuspendPhase0(void *,proc *)	
ajmSuspendResumePhase0(void *,proc *)	
ajmSuspendResumePhase1Start(void *,proc *)	
ajmSuspendResumePhase1End(void *,proc *)	
ajmSuspendResumePhase2(void *,proc *)	
ajmSuspendUnregisterHandlers	
mios2_MemoryFragment_Avail(mios2_MemoryFragment_Info_S *)	
mios2_MemoryFragment_Free	
mios2_MemoryFragment_AllocatePartial	
mios2_MemoryFragment_Allocate	
mios2_MemoryFragment_InitializeFragmentInfo	
mios2_MemoryFragment_DestroyFragmentInfo	
mios2_MemoryFragment_AddFragments	
mios2_MemoryFragment_RemoveFragments	
mios2_MemoryFragment_AreFragmentsFree	
mios2_FlatBuffer_Initialize	
mios2_FlatBuffer_Destroy	
mios2_FlatBuffer_Advance	
ajmBatchQueueInitialize	
ajmBatchQueueTerminate	
ajmBatchStart	
ajmBatchCleanup(ajmContext_Info_S const*,ajmBatch_BatchInfo_S const*,ushort,ushort *,bool,bool,SceAjmBatchError *)	
ajmBatchWait	
ajmBatchCancel	
ajmBatchCancelByContext	
ajmBatchConvertTranslatePage(void *,ushort)	
ajmBatchCleanupBufferCommand(ajmBatch_CleanupInfo_S *,mios2_FlatBuffer0_Context_S *,bool,int,int,int,int)	
ajmBatchConvertAllocatePage(void *)	
ajmBatchConvertManagedBufferCommand(ajmBatch_Parser_S *,SceAjmCommand,void *)	
ajmBatchConvertNonManagedBufferCommand(ajmBatch_Parser_S *,SceAjmCommand,void *,int)	
acpCommandData	
acpCommandSideband	
ajmBatchParserCompareJobs(void const*,void const*)	
ajmCodecGlobalTableInitialize	
ajmCodecGlobalTableTerminate	
ajmCodecRegister	
ajmCodecUnregister	
ajmCodecName	
ajmContextInitialize	
ajmContextCleanup	
ajmInstanceGlobalTableInitialize	
ajmInstanceGlobalTableTerminate	
ajmInstanceCreate	
ajmInstanceDestroy	
ajmMemoryInitialize	
ajmMemoryTerminate	
ajmMemoryDump	
ajmMemoryAddRegion	
ajmMemoryDeleteRegion	
ajmMemory_IsManaged	
ajmMemory_User2Fragment	
ajmModuleLoad	
ajmModuleUnload	
ajmACPIntrHandler(void *,gbase_ivring_entry *)	
ajmACPInitialize	
ajmACPBoot	
ajmACPStall	
ajmACPTerminate	
ajmACPMapPage	
ajmACPUnmapPage	
ajmACPSendIRQ	
ajmACPWaitIRQ	
ajmACPReadScratch(int)	
ajmACPWriteScratch(int,uint)	
ajmACPGetCodec	
ajmACPReleaseCodec	
ajmACPGetOS	
ajmACPReleaseOS	
DecMp3Load	
moduleLoad(module *,int,void *)	
DecAt9Load	
moduleLoad(module *,int,void *)	
DecM4AacLoad	
moduleLoad(module *,int,void *)	
EncM4AacLoad	
moduleLoad(module *,int,void *)	
DecCelp8Load	
moduleLoad(module *,int,void *)	
EncCelp8Load	
moduleLoad(module *,int,void *)	
DecAc3Load	
moduleLoad(module *,int,void *)	
EncAc3Load	
moduleLoad(module *,int,void *)	
sdio_get_function	
sdio_acquire_host	
sdio_release_host	
sdio_enable_function	
sdio_disable_function	
sdio_set_block_len	
sdio_set_bus_speed	
sdio_read	
sdio_send_io_rw_extended	
sdio_write	
sdio_read_cccr	
sdio_write_cccr	
sdio_register_intr_handler	
sdio_unregister_intr_handler	
sdio_probe	
sdio_attach	
sdio_detach	
sdio_card_irq_task	
sdio_card_irq	
sdio_set_io_bus_width	
sdio_get_cis	
sdio_send_io_rw_direct	
trsw_probe	
trsw_attach	
trsw_detach	
trsw_intr_handler	
dcm_rx_message	
trsw_proc_intr	
trsw_proc_ctrl	
mrvl_cmd_11n_addba_req	
mrvl_cmd_11n_addba_rsp	
mrvl_cmd_80211_rssi_info_get	
dcm_exec_command	
dcm_free_cmd_desc	
dcm_tx_message	
media_change	
media_stat	
mrvl_cmd_80211_snmp_mib_set	
trsw_init	
trsw_ioctl	
trsw_start	
rx_filter	
mrvl_cmd_80211_scan	
mrvl_cmd_80211_deauthenticate	
ap_stop	
mrvl_cmd_supplicant_pmk_set	
mrvl_cmd_mac_control	
sceWlanIeeeIeParseWpa	
bt_send_sig_connection_request	
bt_send_sig_disconnect_request	
bt_send_sig_echo_request	
bt_auth_enc_done	
bt_acl_rx_main	
bt_send_sig_configure_request	
bt_audio_disconnect	
bt_audio_open_cb	
bt_audio_start_cb	
bt_audio_stop_cb	
bt_audio_conf_cb	
bt_audio_send_cb	
bt_audio_get_send_buffer	
bt_audio_send_packet	
bt_audio_reconf_cb	
bt_audio_update_hid	
bt_select_combi	
bt_audio_send_req	
bt_audio_start_req	
bt_audio_recv_cb	
bt_audio_recv_req	
bt_audio_init	
bt_audio_finish	
hid_timer	
bt_avctp_no_track_select	
bt_avctp_set_title	
bt_avctp_notify	
bt_avctp_set_play_status	
bt_avctp_disconnect	
bt_avctp_finish	
bt_avctp_open	
bt_avctp_close	
bt_avctp_send_button	
avctp_button	
bt_avctp_send_volume	
bt_avctp_read_volume	
bt_browsing_open	
bt_browsing_ready	
bt_browsing_recv	
bt_avctp_send	
avctp_send_timer	
avctp_busy_timer	
bt_avctp_ready	
bt_avctp_disc	
bt_avctp_recv	
avctp_recv_timer	
avctp_hold_timer	
bt_avdtp_open	
bt_avdtp_close	
bt_avdtp_start	
bt_avdtp_stop	
bt_avdtp_send	
bt_avdtp_reconfig	
bt_snk_ready	
bt_avdtp_disc	
bt_snk_finish	
bt_avdtp_finish	
bt_src_ready	
bt_src_recv	
bt_src_finish	
bt_sig_ready	
bt_sig_recv	
bt_apply_bt_on_off	
bt_put_eb	
bt_stop_next	
bt_suspend_timer	
bt_disconnect_next	
bt_disconnect_timer	
bt_do_disconnect	
bt_start_complete	
sceBtGetRegisteredInfo	
get_registered_info	
sceBtDeleteRegisteredInfo	
sceBtGetConnectingInfo	
sceBtStartInquiry	
sceBtStopInquiry	
sceBtGetDeviceName	
sceBtReplyPinCode	
reply_pin_code	
sceBtReplyUserConfirmation	
sceBtSetInquiryScan	
sceBtStartConnect	
bt_connect_timer	
sceBtStartDisconnect	
sceBtRegisterEventCB	
sceBtUnregisterEventCB	
sceBtAvrcpSetTitle	
set_title	
sceBtAvrcpSetPlayStatus	
sceBtAvrcpSendButton	
sceBtAvrcpSendVolume	
sceBtAvrcpReadVolume	
sceBtPairingOOB	
sceBtHidGetReportDescriptor	
sceBtHidTransfer	
bt_set_open_timer	
bt_open_timer	
bt_connected	
bt_open_next	
bt_call_open_cb	
bt_call_close_cb	
bt_call_send_cb	
bt_call_recv_cb	
sceBtSendAudio	
sceBtRecvAudio	
sceBtSetContentProtection	
sceBtGetJediVolumeGain	
bt_get_jedi_vol_gain	
sceBtSetJediVolumeGain	
bt_set_jedi_vol_gain	
sceBtLeSetAdvertising	
sceBtLeSetScan	
sceBtLeGetAdvertisingData	
sceBtLeCreateConnection	
sceBtGattRequest	
sceBtAttRead	
sceBtAttWrite	
sceBtGetDebugInfo	
get_debug_info	
sceBtSetDebugInfo	
set_debug_info	
sceBtGetJackStatus	
sceBtStartRegistry	
btke_filt_detach	
btke_filt_event	
bt_dev_open	
bt_dev_close	
bt_dev_read	
bt_dev_write	
bt_dev_ioctl	
bt_dev_poll	
bt_dev_kqf	
bt_driver_init	
bt_shutdown_pre_sync	
call_apply_bt_on_off	
bt_process_exit	
bt_process_suspend	
btke_dtor	
bt_check_name_sdp_auth_enc	
bt_disconnect_dev	
bt_hci_rx_main	
read_bd_addr_timer	
name_request_timer	
bt_hci_tx_next	
bt_hci_tx_start	
bt_hci_tx	
bt_hci_rx_done	
bt_acl_disconnect	
bt_acl_comp	
bt_dev_lookup	
bt_acl_xmit	
bt_acl_tx	
bt_acl_tx_start	
bt_acl_rx_done	
bt_l2c_lookup	
bt_hci_attach	
wait_nop	
bt_hci_detach	
bt_acl_tx_done	
bt_hci_tx_done	
bt_hid_disconnect	
bt_hid_init	
bt_hid_interrupt_send_cb	
bt_hid_send_cb	
bt_hid_control_send_cb	
bt_hid_transfer	
bt_hid_send	
bt_hid_open	
bt_hid_close	
bt_hid_interrupt_ready	
bt_hid_disc	
bt_hid_interrupt_recv	
bt_hid_bdrc_disconnect	
bt_hid_done	
bt_hid_auth_start_challenge	
bt_hid_auth_start_response	
bt_hid_auth_response_timeout	
bt_hid_control_ready	
bt_hid_control_recv	
bt_drfp_stat	
bt_drfp_open_reg	
bt_drfp_close_reg	
bt_drfp_read_reg	
bt_drfp_open_dir	
bt_drfp_close_dir	
bt_drfp_read_dir	
bt_drfp_read_link	
bt_drfp_write_reg	
bt_drfp_remove_reg	
bt_reg_load	
bt_reg_inquiry_start	
bt_reg_inquiry_stop	
bt_reg_save	
bt_reg_paired_count	
bt_reg_lookup	
bt_reg_name_to_reg	
bt_reg_set_cod	
bt_reg_inquiry_result	
bt_reg_remote_name_request_complete	
bt_reg_le_name	
bt_reg_paired	
bt_reg_sdp_updated	
bt_reg_vol_updated	
bt_reg_get_link_key	
bt_reg_update_link_key	
bt_reg_delete	
bt_reg_set_hid_desc	
bt_reg_get_hid_desc	
bt_reg_name_lookup	
bt_reg_clear_filter	
bt_sbc_init_dec	
bt_sbc_decode	
bit_alloc	
bt_sbc_init_enc	
bt_sbc_encode	
putbf	
bt_sdp_service_list_le	
bt_sdp_open	
bt_sdp_ready	
bt_sdp_disc	
bt_sdp_recv	
uuid_des	
serv_search	
attr_des	
bt_sha1_digest	
sha1	
bt_sm_rand	
bt_copyin	
bt_copyout	
bt_sync_create	
bt_sync_destroy	
bt_sync_signal	
bt_sync_wait	
bt_thread_create	
bt_thread_exit	
bt_thread_join	
bt_system_time	
bt_usleep	
bt_get_rtc	
bt_is_sleeping	
bt_enter	
bt_leave	
bt_send	
bt_xmit	
bt_on	
bt_card_init	
bt_disabled	
bt_suspend_save_event_filter_next	
bt_off	
bt_sdio_probe	
bt_sdio_attach	
bt_sdio_detach	
bt_sdio_intr	
bt_recv	
bt_driver_thread	
bt_wakeup_timer	
bt_timer_main	
bt_timer_set	
bt_timer_cancel	
bt_timer_init	
bt_timer_finish	
bt_put2b	
bt_put4b	
bt_put8b	
bt_put2l	
bt_put3l	
bt_get2b	
bt_get4b	
bt_get2l	
bt_get3l	
bt_get4l	
bt_scan	
bt_vputbin	
bt_putbin	
bt_cq_clear	
bt_cq_put	
bt_cq_get	
bt_cq_unget	
bt_cq_unput	
bt_cq_pop	
bt_cq_peek	
bt_utf8ncpy	
bt_utf16_from_utf8	
bt_utf8_from_utf16	
bt_skip_space	
bt_scan_decimal	
trs_probe	
trs_attach	
trs_detach	
trs_dev_open	
trs_dev_close	
trs_dev_read	
trs_dev_write	
trs_dev_ioctl	
trs_dev_poll	
trs_intr_handler	
rx_message	
_lock_big	
_unlock_big	
filt_sdma_attach	
filt_sdma_detach	
filt_sdma_event	
sdma_open	
sdma_close	
sdma_ioctl	
sdma_clear	
event_handler_3	
sdma_ih_tasklet	
sdma_interrupt	
_sched_timeout	
sdma_open_k	
sdma_close_k	
sdma_ioctl_k	
_context_pfunc_assign	
_sdma_ctx_init	
_sdma_ctx_fini	
_sdma_ctx_read_rptr	
_sdma_ctx_write_wptr	
_sdma_ctx_wrap_wptr	
_sdma_ctx_alloc_ring	
_sdma_ctx_submit	
_sdma_hw_init	
_sdma_hw_fini	
_sdma_hw_control	
_sdma_hw_attach	
_sdma_hw_detach	
_sdma_hw_enqintinfo	
_sdma_hw_getintinfo	
_sdma_hw_deqintinfo	
_sdma_hw_read_register0	
_sdma_hw_write_register0	
_sdma_hw_read_register1	
_sdma_hw_write_register1	
sdma_test_submit_ib	
sdma_dump_regs	
sdma_dump_regs2	
sdma_allocate_buffer_system	
sdma_allocate_buffer	
sdma_map_buffer	
sdma_map_buffer_user	
sdma_unmap_buffer	
sdma_unmap_buffer_user	
sdma_free_buffer	
schedule_monopoly	
sdma_schedule	
_find_available_hwops	
context_switch_pre	
context_switch	
context_switch_post	
_sched_yield	
_sched_enqueue_context	
_sched_dequeue_context	
_sched_find_context_by_proc	
_sched_setpolicy	
schedule_fifo	
schedule_rr	
schedule_prio	
_sched_getpolicy	
sdmaFlushAll	
sdmaCopyLinear	
sdmaCopyTiled	
sdmaCopyLinearNonBlocking	
sdmaCopyTiledNonBlocking	
sdmaConstantFill	
sdmaConstantFillNonBlocking	
_kapi_kctx_init	
_kapi_kctx_fini	
_kapi_kctx_get_kctx	
sdmaGetSdmaId	
get_pack_len	
pack_write_cmd	
pack_copy_cmd	
pack_fence_cmd	
pack_copy_tiled_cmd	
pack_trap_cmd	
pack_constfill_cmd	
insert_nops	
LOCK_PER_HANDLE	
UNLOCK_PER_HANDLE	
sdma_test_copy_user_linear	
END_AND_COMMIT	
sdma_test_copy_user_tiled	
sdma_fence_and_poll	
sdma_fence	
sdma_constant_fill	
sdma_nop	
ID2CTX	
sceSdmaQueryWorkingBufferSize	
sceSdmaMapUserVAddress	
sceSdmaUnmapUserVAddress	
sceSdmaCopyLinear	
sceSdmaFlushAll	
sceSdmaCopyTiled	
sceSdmaCopyLinearNonBlocking	
sceSdmaCopyTiledNonBlocking	
sceSdmaConstantFill	
sceSdmaConstantFillNonBlocking	
sceSdmaFlushAllCb	
sceSdmaInitialize	
sceSdmaOpen	
sceSdmaClose	
sceSdmaFinalize	
sceSdmaFenceNonBlocking	
sceSdmaTryFlush	
sceSdmaFlushAllWithEvent	
sceSdmaWaitForEvent	
_sceSdmaNop	
sceSdmaBeginQueueMode	
sceSdmaKickQueue	
sceSdmaCancelQueue	
sceSdmaEndQueueMode	
sdma_sr_initialize	
_sdma_suspend_phase2	
_sdma_suspend_phase1_start	
_sdma_suspend_phase1_end	
_sdma_suspend_phase1_main	
_sdma_suspend_phase0	
_sdma_resume_phase0	
_sdma_resume_phase1_start	
_sdma_resume_phase1_end	
_sdma_resume_phase2	
sdma_sr_finalize	
mbus_open	
mbus_close	
mbus_read	
mbus_write	
mbus_ioctl	
mbus_poll	
mbus_kqfilter	
mbus_filt_read_detach	
mbus_filt_read_event	
sceMbusKmodNotify	
sceMbusKmodNotifyWithDeviceInfo	
sceMbusKmodNotifyGeneralEvent	
event_handler_4	
mbus_bt_event_callback	
mbus_usb_event_callback	
mbus_clear	
usb_hid_read_callback	
usb_hid_write_callback	
usb_hid_interrupt_in_callback	
usb_hid_interrupt_out_callback	
getSystemTime	
sceUsbHidRegisterDeviceEventCallback	
sceUsbHidGetDeviceInfo	
sceUsbHidGetReportDescriptor	
sceUsbHidPost	
usb_hid_probe	
usb_hid_attach	
usb_hid_detach	
usb_hid_resume	
usb_hid_load	
btTransferDoneCb	
_sceHidModuleEvent	
hidMain	
usbEventCb	
btEventCb	
iccAvailableCb	
CreateDeviceBt	
parseReportDescriptor	
startDevice	
DisposeDeviceBt	
disposeDevice	
CreateDeviceUsb	
DisposeDeviceUsb	
onTransferBt	
onTransferUsb	
interruptIn	
OutputReport	
allocateRequestBt	
allocateRequestUsb	
GetReport	
SetReport	
startDataTransfer	
start	
getDeviceName	
disconnectBtDevice	
addApplication	
initDpadEmu	
updateDpadEmu	
resetDpadEmu	
applicationConfigSetSeamlessConnection	
applicationConfigBluetoothConnection	
applicationConfigPairing	
applicationConfigIsSeamlessConnectionEnable	
applicationConfigIsBluetoothConnectionEnable	
applicationConfigIsPairingEnable	
generateApplicationId	
notifyEventToMbus	
getApplicationById	
getApplicationByIndex	
isApplicationExist	
removeApplication	
attachHandle	
detachHandle	
detachHandleByPid	
getHandleInfo	
dumpApplication	
isPrivilegeProcess	
registerPrivilegeProcess	
unregisterPrivilegeProcess	
hidAppInitializeResources	
hidAppCreate	
createApplication	
hidAppDispose	
hidAppTagInput	
hidAppTagOutput	
hidAppTagFeature	
hidAppTagEndCollection	
hidAppInitialize	
hidAppHasReportId	
hidAppOnInputReport	
hidAppAttachHandle	
hidAppDetachHandle	
sceHidControllerRegisterCtrlpCB	
sceHidOpenForUser	
sceHidCloseForUser	
sceHidGetDeviceInfoForUser	
sceHidOpenPortForUser	
sceHidClosePortForUser	
sceHidConnectPortForUser	
sceHidDisconnectPortForUser	
sceHidDisconnectForUser	
sceHidAddVirtualDeviceForUser	
sceHidDeleteVirtualDeviceForUser	
sceHidSetProcessPrivilegeForUser	
sceHidSetProcessFocusForUser	
sceHidControllerReadPortForUser	
sceHidControllerReadForUser	
sceHidControllerSetLightBarForUser	
sceHidControllerSetMotorForUser	
sceHidControllerMotionCalibReadForUser	
sceHidControllerInsertData	
sceHidMoveInitializeForUser	
sceHidMoveTerminateForUser	
sceHidMoveReadForUser	
sceHidMoveSetExtensionReportForUser	
sceHidMoveReadCalibDataForUser	
sceHidMoveWriteRawReportForUser	
sceHidMoveSetFeatureForUser	
sceHidMoveGetFeatureForUser	
sceHidKeyboardReadPortForUser	
sceHidKeyboardReadForUser	
sceHidMouseReadPortForUser	
sceHidMouseReadForUser	
updateMoveOutputReport	
updateMoveOutputReportDone	
hidAppControllerInitializeResources	
hidAppControllerRegister	
hidAppControllerUnregister	
hidAppControllerTagInput	
hidAppControllerTagOutput	
hidAppControllerTagFeature	
hidAppControllerInitialize	
hidAppControllerHasReportId	
hidAppControllerOnInputReport	
hidAppControllerGetApplication	
hidAppControllerSetProcessPrivilege	
hidAppControllerSetProcessFocus	
hidAppControllerCleanupProcessResources	
hidAppControllerRegisterCtrlpCB	
hidAppControllerPrepareDisconnect	
hidControllerReadPort	
readBuffer	
hidControllerRead	
hidControllerSetLightBar	
hidControllerSetMotor	
hidControllerReadMotionCalibData	
hidControllerInsertData	
hidControllerMoveRead	
hidControllerMoveSetExtensionReport	
hidControllerMoveReadCalibData	
hidControllerMoveWriteRawReport	
hidControllerMoveSetFeature	
moveSetFeatureDone	
hidControllerMoveGetFeature	
updateDs3OutputReportDone	
updateJediOutputReportDone	
initializeLightBar	
initializeMoveDone	
initializeDualShock3Done	
initializeJediDoneUsb	
initializeJediDoneBt	
parseMotionCalibData	
hidAppPairingRegister	
hidAppPairingUnregister	
hidAppPairingTagFeature	
hidAppPairingInitialize	
hidAppPairingInitializeResources	
hidPairingSetAddress	
hidPairingGetAddress	
pairingSequenceDone	
hidAppKeyboardInitializeResources	
hidAppKeyboardRegister	
hidAppKeyboardUnregister	
hidAppKeyboardTagInput	
hidAppKeyboardTagOutput	
hidAppKeyboardTagFeature	
hidAppKeyboardInitialize	
hidAppKeyboardHasReportId	
hidAppKeyboardOnInputReport	
hidAppKeyboardGetApplication	
hidAppKeyboardCleanupProcessResources	
hidKeyboardReadPort	
hidKeyboardRead	
hidAppMouseInitializeResources	
hidAppMouseRegister	
hidAppMouseUnregister	
hidAppMouseTagInput	
hidAppMouseTagOutput	
hidAppMouseTagFeature	
hidAppMouseInitialize	
hidAppMouseHasReportId	
hidAppMouseOnInputReport	
hidAppMouseGetApplication	
hidAppMouseCleanupProcessResources	
hidMouseReadPort	
hidMouseRead	
getAxis	
getAxisEx	
getButton	
getKey	
InitializeUtility	
FinalizeUtility	
myMalloc	
myFree	
myGiantLock	
myGiantUnlock	
myEventLock	
myEventUnlock	
mySyncLock	
mySyncUnlock	
myGetSystemTime	
myThreadCreate	
mySemaInit	
mySemaPost	
mySemaWait	
mySemaTimedWait	
mySemaDestroy	
myCondInit	
myCondWait	
myCondSignal	
myCondDestroy	
myCopyin	
myCopyout	
myUSleep	
getMyBitLe	
initProcessResources	
allocateProcessInfo	
destoryProcessInfo	
openPort	
closePort	
resolvePortByApplication	
unresolveApplication	
getApplicationIdByHandle	
getPortNumberByApplicationId	
terminateByClientType	
hidOpen	
hidClose	
hidRead	
hidIoctl	
initSyscall	
lvdctlioctl	
g_lvd_init	
g_lvd_fini	
g_lvd_start	
g_lvd_dumpconf	
g_lvd_access	
g_lvd_ioctl	
is_available	
lvdnew	
lvdstart_vnode	
lvddestroy	
release_vnode	
create_vnode	
lvd_kthread	
_sceSblDriverGvmInitialize	
_sceSblDriverGvmFinalize	
sceSblDriverMapPages	
sceSblDriverUnmapPages	
sceSblDriverGetMappedPageLists	
sceSblDriverGetMappedPageListsWithCpuAddr	
sceSblDriverRegisterMsgHandler	
sceSblDriverInitialize	
intrTasklet	
intrHandler	
writeHandler	
sendContext	
sceSblDriverFinalize	
sceSblDriverSendMsg	
load_1	
sceSblSsMemcpySwap	
sceSblSsMemset	
sceSblSsMemcmpConsttime	
sceSblSsSha256HmacInit	
sceSblSsSha256HmacTransform	
sceSblSsSha256HmacFinal	
sceSblSsAesCbcCfb128Encrypt	
sceSAblSsAesCbcCfb128Decrypt	
_sceSblSsUtilsIoctl	
sceSblSsGenerateSealedKey	
getSealedKeyKeyAndSecret	
sceSblSsDecryptSealedKey	
_sceSblSsSealedkeyIoctl	
sceSblGetEAPInternalPartitionKey	
sceSblPfsSetKey	
sceSblPfsClearKey	
KeyHandleTree_RB_INSERT_COLOR	
KeyHandleTree_RB_REMOVE_COLOR	
KeyHandleTree_RB_REMOVE_COLOR_0	
KeyHandleTree_RB_REMOVE	
KeyHandleTree_RB_INSERT	
KeyHandleTree_RB_FIND	
KeyHandleTree_RB_NFIND	
KeyHandleTree_RB_NEXT	
KeyHandleTree_RB_PREV	
KeyHandleTree_RB_MINMAX	
sceSblKeymgrSetKey	
sceSblKeymgrCleartKey	
sceSblKeymgrHoldKey	
sceSblKeymgrDropKey	
_sceSblKeyMgrSmLoad	
_sceSblKeyMgrSmUnload	
_sceSblKeyMgrInitialize	
_sceSblKeyMgrFinalize	
CryptCtxHandleTree_RB_INSERT_COLOR	
CryptCtxHandleTree_RB_REMOVE_COLOR	
CryptCtxHandleTree_RB_REMOVE_COLOR_0	
CryptCtxHandleTree_RB_REMOVE	
CryptCtxHandleTree_RB_INSERT	
CryptCtxHandleTree_RB_FIND	
CryptCtxHandleTree_RB_NFIND	
CryptCtxHandleTree_RB_NEXT	
CryptCtxHandleTree_RB_PREV	
CryptCtxHandleTree_RB_MINMAX	
sceSblCryptCreateContext	
sceSblCryptReleaseContext	
sceSblCryptGetContext	
_sceSblCryptMgrInitialize	
_sceSblCryptMgrFinalize	
_sceSblSsCrepoIoctl	
sbl_srv_open	
sbl_srv_close	
sbl_srv_ioctl	
sceSblServiceInitialize	
sceSblServiceFinalize	
sceSblServiceCrypt	
sblSrvCryptComplete	
sceSblServiceCryptAsync	
load_2	
sceSblSsDecryptWithPortability	
_sceSblSsEncDecServIoctl	
_sceSblSsEncDecServInitialize	
_sceSblSsEncDecServFinalize	
ccpMsgInitialize	
ccpMsgFinalize	
ccpMsgEnqueue	
ccpMsgDequeue	
cryptMsgHandler	
ccpMsgForceTerminateCryptRequest	
ccpReqMsgInitialize	
ccpReqMsgFinalize	
ccpReqMsg	
ccpReqMsgDone	
ccpSplitReqMsgDone	
_sceSblServiceCcpSchedInitialize	
_sceSblServiceCcpSchedFinalize	
ccpGetSchedQueue	
ccpSchedWorker	
ccpCreateSchedQueue	
ccpDestroySchedQueue	
qfifoInit	
qfifoFini	
qfifoEnqueue	
qfifoDequeue	
qfifoLen	
qfifoIsEmpty	
qfifoIsFull	
map_chunk_table	
make_chunk_table	
_make_chunk_table	
make_chunk_table_system	
_sceSblServiceIoInitialize	
ioOpen	
ioClose	
ioRead	
ioSeek	
_sceSblServiceIoFinalize	
readSector	
ioRdCtxEnq	
_ioReadRequest	
ioCtxLockAndClear	
sceSblMbrLoadMbrFromBankHeader	
_sceSblServiceOsPartSetOsPartStartSector	
_sceSblServiceOsPartGetOsPartStartSector	
_sceSblServiceOsPartInitialize	
_sceSblServiceOsPartFinalize	
_sceSblServiceOsPartGetEntry	
_sceSblServiceRequest	
_sceSblServiceRequestInitialize	
handleDefault	
_sceSblServiceRequestFinalize	
sceSblSmServiceInitialize	
sceSblSmServiceFinalize	
sceSblServiceSpawn	
sceSblServiceMailbox	
load_3	
srtc_open	
srtc_close	
srtc_ioctl	
sceSblSrtcGetTick	
_sceSblSrtcGetTimeDifference	
srtc_rtc_read	
sceSblSrtcSetTick	
_sceSblSrtcReset	
_sceSblSrtcSetTimeDifference	
srtc_rnd_read	
srtc_rtc_write	
sceSblSrtcGetTime	
sceSblSrtcSetTime	
srtc_modevent	
srtc_hmac_sha256	
pup_update_open	
pup_update_close	
pup_update_ioctl	
switch_bank_snvs	
write_snvs	
pup_update_loader	
read_snvs	
set_partition_updated	
switch_bank	
make_mbr_and_switch_bank	
get_soc_active_bank	
devact_open	
devact_close	
devact_ioctl	
sceSblDevActIsActivated	
sceSblDevActSetStatus	
sceSblDevActGetStatus	
devact_modevent	
sceSblAuthMgrInvokeCheck	
sceSblAuthMgrAuthHeader	
sceSblAuthMgrFinalize	
sceSblAuthMgrLoadBlock	
sceSblAuthMgrLoadSegment	
authmgr_modevent	
checkSelfHeader	
invokeCheck	
verifySelfHeader	
loadSelfSegment	
loadSelfBlocks	
getSelfSegmentIndex	
getSelfBlockTableSegmentIndex	
getSelfSegmentSize	
getSelfSegmentOffset	
loadElfSegment	
loadElfBlock	
authMgrSmStart	
authMgrSmExit	
authMgrSmFinalize	
authMgrSmInvokeCheck	
authMgrSmVerifyHeader	
authMgrLoadSelfSegment	
authMgrLoadSelfBlocks	
readDataWithCallback	
releaseDataWithCallback	
readSegmentDataWithCallback	
vtrm_open	
vtrm_close	
vtrm_ioctl	
sceSblVtrmInitialize	
sceSblVtrmStatus	
sceSblVtrmStore	
sceSblVtrmRetrieve	
sceSblVtrmFree	
sceSblVtrmDump	
vtrm_modevent	
llrb_flash_cleanup_current_list	
_store_summary_node	
_alloc_node	
llrb_flash_init	
_eblist_first_rvs	
_retrieve_header	
_eblist_next_rvs	
_pos2ebid	
_pos2nodeid	
llrb_flash_clean	
_reclaim	
llrb_flash_reclaim	
llrb_flash_fini	
llrb_flash_root_pos	
llrb_flash_store_summary_node	
llrb_flash_retrieve_data_node	
llrb_flash_store_data_node	
llrb_flash_expire_data_node	
llrb_flash_expire_ex_summary_node	
llrb_flash_first_node	
_iterate_node	
llrb_flash_next_node	
_eb_set_expire	
_store_header	
llrb_raw_write	
_eb_is_alloc	
_expire_nodes_from	
llrb_node_new	
llrb_node_free	
llrb_node_expire	
llrb_node_store_path	
_store_path	
llrb_node_expire_path	
llrb_node_free_path	
llrb_node_left_ptr	
llrb_node_right_ptr	
llrb_node_left_cptr	
llrb_node_right_cptr	
llrb_node_root_ptr	
llrb_node_root_cptr	
llrb_search	
llrb_store	
_store	
llrb_remove	
_remove	
llrb_relocate	
_relocate	
_rebalance_right	
_balance_right	
_balance_right2	
_balance_left2	
rng_open	
rng_close	
rng_ioctl	
sceSblRngGetRandomNumber	
rng_modevent	
_get_genuine_random	
idata_open	
idata_close	
idata_ioctl	
_sceSblIdataGetCprm	
_sceSblIdataGetHddKey	
_sceSblIdataGetEapHddKey	
_sceSblIdataGetChallenge	
_sceSblIdataVeriResponse	
_sceSblIdataSetManuMode	
read_snvs_mgmt	
_sceSblIdataGetManuMode	
idata_modevent	
idata_sm_callfunc	
manu_mode_sm_start	
manu_mode_sm_exit	
manu_mode_sm_callfunc	
read_idstorage	
isSpecialWake	
g_crypt_taste	
g_crypt_config	
g_crypt_init	
g_crypt_destroy_geom	
show_cryptbuffer	
cryptbuffer_show_del	
cryptbuffer_show_add	
g_crypt_destroy	
g_crypt_start	
g_crypt_orphan	
g_crypt_access	
g_crypt_dumpconf	
setup_request	
g_crypt_encode_done	
crypt_buffer_release	
g_crypt_write_done	
g_crypt_decode_done	
g_crypt_read_done	
g_crypt_deferred_init	
sceKernelInitializeDipsw	
sceKernelSetDipsw	
sceKernelUnsetDipsw	
sceKernelCheckDipsw	
sceKernelReadDipswData	
sceKernelWriteDipswData	
dipsw_dev_open	
dipsw_dev_close	
dipsw_dev_ioctl	
dipsw_init	
module_load	
dipsw_shutdown_handler	
pgefs_mount	
pgefs_unmount	
pgefs_root	
pgefs_quotactl	
pgefs_statfs	
pgefs_sync	
pgefs_vget	
pgefs_fhtovp	
pgefs_extattrctl	
pge_bypass	
pge_lookup	
pge_open	
pge_access	
pge_accessx	
pge_getattr	
pge_setattr	
pge_remove	
pge_rename	
pge_inactive	
pge_reclaim	
pge_lock	
pge_unlock	
pge_getwritemount	
pge_print	
pge_vptofh	
pge_vptocnp	
pgdev_open	
pgdev_close	
pgdev_ioctl	
pgefs_init	
pgefs_uninit	
pge_nodeget	
pge_hashget	
pge_insmntque_dtr	
pge_hashins	
pge_hashrem	
sceKernelPlayGoDevLoadChunkMappingInfo	
sceKernelPlayGoDevReleaseHtab	
sceKernelPlayGoDevEnableChunk	
sceKernelPlayGoDevGetChunkStatus	
sceKernelPlayGoDevGetChunkNumListKernel	
sceKernelPlayGoDevGetChunkNumList	
sceKernelPlayGoDevCheckChunkStatus	
sceKernelPlayGoDevDumpHtab	
_ctrlpGetSystemTime	
_ctrlpGetMode	
_ctrlpPlayStart	
_ctrlpPlayStop	
_ctrlpRecordStart	
_ctrlpRecordStop	
_ctrlpSetPlayData	
_ctrlpGetRecData	
_ctrlpGetAvailPlayBufferNum	
ctrlpModuleStart	
_ctrlpActCtrl	
_ctrlpSetCtrlDataToCtrlpData	
_ctrlpSetCtrlDataFromCtrlpData	
_ctrlpSendEvent	
ctrlp_filt_detach	
ctrlp_filt_event	
ctrlpOpen	
ctrlpClose	
ctrlpRead	
ctrlpIoctl	
ctrlpPoll	
ctrlpKqfilter	
_sceCtrlpModuleEvent	
sceCameraProcGetConfig	
sceCameraProcConfigInit	
sceCameraProcConfigRegister	
sceCameraProcConfigUnregister	
sceCameraProcConfigOpen	
set_config	
sceCameraProcConfigSetConfig	
sceCameraProcConfigGetConfig	
sceCameraProcConfigStartPid	
sceCameraProcConfigStart	
sceCameraProcConfigStopPid	
sceCameraProcConfigStop	
sceCameraProcConfigIsOpened	
sceCameraProcConfigIsStarted	
sceCameraProcConfigSetStartedPid	
sceCameraProcConfigSetStarted	
sceCameraProcConfigClosePid	
sceCameraProcConfigClose	
sceCameraProcConfigEnd	
sceCameraProcConfigGetFrameData	
sceCameraProcConfigAttribute	
sceCameraProcConfigIsActiveProcess	
sceCameraProcConfigGetAttribute	
sceCameraProcConfigSetAttribute	
sceCameraProcConfigSetActiveStatusPid	
sceCameraProcConfigAreThereOtherProcesses	
sceCameraProcConfigIsStartedActivePid	
sceCameraProcConfigIsStartedPid	
lget2	
lget3	
lget4	
lget8	
lput2	
lput3	
lput4	
lput8	
sceCameraCtrlTransfer	
sceCameraDeviceDetach	
sceCameraDevInitialize	
sceCameraDevFinalize	
sceCameraDevOpen	
sceCameraDevClose	
sceCameraDevSetConfig	
sceCameraDevGetConfig	
sceCameraDevStart	
sceCameraDevStop	
sceCameraDevWriteRegister	
sceCameraDevReadRegister	
sceCameraGetDeviceFrameData	
sceCameraDevSetAttribute	
sceCameraDevGetAttribute	
createBaseConfig	
sceCameraOVSetInitialize	
sceCameraOVSetAcc	
sceCameraOVSetAudio	
audio_debug_info	
sceCameraDevGetAWB	
sceCameraOVSetAWB	
sceCameraOVSetAECAGC	
sceCameraOVGetGamma	
sceCameraOVSetGamma	
sceCameraOVGetExpGain	
sceCameraOVSetExpGain	
sceCameraOVGetWhiteBalance	
sceCameraOVSetWhiteBalance	
sceCameraOVGetSaturation	
sceCameraOVSetSaturation	
sceCameraOVGetHue	
sceCameraOVSetHue	
sceCameraOVGetContrast	
sceCameraOVSetContrast	
sceCameraOVSetDenoise	
sceCameraOVGetSharpness	
sceCameraOVSetSharpness	
sceCameraOVGetAttribute	
sceCameraOVSetAttribute	
sceCameraOVSetflipMirror	
luke_fw_cb	
luke_ctl_cb	
luke_is_cb	
luke_write_transfer	
usb_ctrl_xfer_for_kmod	
luke_filt_detach	
luke_filt_event	
luke_open	
luke_close	
luke_read	
luke_write	
luke_ioctl	
luke_mmap	
luke_kqfilter	
sceCameraSetMultiWriteRegister	
sceCameraExecMultiWriteRegister	
sceCameraReadRegister	
sceCameraDeviceReadRegister	
luke_probe	
luke_attach	
luke_detach	
camera_driver_modevent	
sceCameraDriverThread	
sceCameraMultiReadRegister	
luke_update_mask	
luke_dtor	
sshot_open	
sshot_close	
sshot_ioctl	
event_handler_5	
_sshot_trigger	
_map_noncontiguous_pa	
_map_allocated_vmid0_gpu_va	
sshot_clear	
sshot_dce_callback	
sshot_suspend_resume_initialize	
sshot_suspend_phase2	
sshot_suspend_phase1_start	
sshot_suspend_phase1_end	
sshot_suspend_phase1_main	
sshot_suspend_phase0	
sshot_resume_phase0	
sshot_resume_phase1_start	
sshot_resume_phase1_end	
sshot_resume_phase2	
sshot_suspend_resume_terminate	
pfs_mount	
pfs_cmount	
pfs_unmount	
pfs_root	
pfs_statfs	
pfs_sync	
pfs_vget	
pfs_fhtovp	
pfs_create	
pfs_mknod	
pfs_open_0	
pfs_close_0	
pfs_access_0	
pfs_getattr_0	
pfs_setattr_0	
pfs_read_0	
pfs_write_0	
pfs_ioctl_0	
pfs_fsync	
pfs_remove	
pfs_link	
pfs_rename	
pfs_mkdir	
pfs_rmdir	
pfs_symlink	
pfs_readdir_0	
pfs_inactive	
pfs_reclaim_0	
pfs_bmap	
pfs_strategy	
pfs_print	
pfs_pathconf	
pfs_vptofh	
pfs_itimes_locked	
pfs_dirrewrite	
pfs_chmod	
dump_pfs	
dump_signe	
dump_dinode	
dump_dinode_d32	
dump_dinode_sd32	
dump_dinode_d64	
dump_dinode_sd64	
_dump_dinode	
dump_dirent	
pfs_lookup_0	
pfs_lookup_ino	
pfs_checkpath	
pfs_dir_dd_ino	
free_icv_cache	
alloc_bitmap	
pfs_bread	
pfs_bwrite	
alloc_bitmap_icv	
pfs_icv_bread	
pfs_icv_bwrite	
allocate_blk	
free_bitmap	
free_bitmap_icv	
free_blk	
get_freeblk	
free_inode	
pfs_cmp_bread	
pfs_cmp_raw_read	
z_alloc	
z_free	
pfs_breadn	
pfs_icv_breadn	
check_block_icv	
pfs_icv_bdwrite	
update_pdir	
write_dinode	
dino_init	
dir_init	
dir_init_icv	
create_dirent	
allocate_inode	
extend_blocks	
create_dirent_icv	
displace_dirent	
_write_dinode_icv	
update_sb_icv	
get_blkno_sino	
_write_dinode	
write_dinode_ino	
extend_file	
get_idblk_offset	
truncate_file	
truncate_blocks	
get_dinode	
get_dinode_icv	
get_blkno	
get_blkno_icv	
allocate_idblk	
allocate_idblk_icv	
free_idblk	
free_idblk_level	
free_idblk_icv	
free_idblk_level_icv	
is_empty_dir	
delete_dirent	
delete_dirent_icv	
pfs_itime_init	
allocate_dblks	
update_batbl	
allocate_dblks_icv	
free_dblk	
free_dblk_icv	
check_icv	
_free_idblk	
_free_idblk_icv	
zblock	
pfs_sbl_init_sub	
pfs_sbl_init	
pfs_sbl_fini_sub	
pfs_sbl_fini	
pfs_generate_icv_sub	
_complete	
pfs_generate_icv	
pfs_dec_sub	
pfs_dec	
pfs_dec_icv_sub	
pfs_dec_icv	
pfs_enc_sub	
pfs_enc	
pfs_icv_enc_sub	
pfs_icv_enc	
pfs_check_cipher_block	
deflateInit_	
deflateInit2_	
deflateEnd	
deflateReset	
deflateSetDictionary	
adler32	
_tr_init	
deflateParams	
deflate	
_tr_align	
_tr_stored_type_only	
_tr_stored_block	
deflateCopy	
deflateOutputPending	
init_block	
_tr_flush_block	
build_tree	
build_bl_tree	
compress_block	
send_all_trees	
_tr_tally	
inflateReset	
inflate_blocks_reset	
inflateEnd	
inflate_blocks_free	
inflateInit2_	
inflate_blocks_new	
inflateInit_	
inflate_ppp	
inflate_blocks	
inflate_packet_flush	
inflateSetDictionary	
inflate_set_dictionary	
inflateIncomp	
inflate_addhistory	
inflateSync	
inflate_codes_free	
inflate_trees_free	
inflate_flush	
inflate_trees_fixed	
inflate_codes_new	
inflate_trees_bits	
inflate_trees_dynamic	
inflate_codes	
huft_build	
falloc	
inflate_fast	
zlibVersion	
zlib_modevent	
send_tree	
scan_tree	
pqdownheap	
gen_bitlen	
gen_codes	
deflate_stored	
deflate_slow	
fill_window	
longest_match	
fs_open	
fs_close	
fs_write	
fs_writev	
fs_pwrite	
fs_pwritev	
fs_read	
fs_readv	
fs_pread	
_fs_preadv	
fs_preadv	
fs_lseek	
_fs_ioctl	
fs_ioctl	
fs_ftruncate	
_dofilewrite	
_pfs_format	
_pfs_format_calc_all_icv	
_pfs_format_backup_superblock	
_pfs_format_encode_blocks	
_pfs_format_write_image	
_pfs_format_init	
_pfs_format_term	
pfs_format	
calcIndirectBlockNum	
getDevImageBuffAddr	
getBlockAddr	
getInodeByInd	
readDataBlockSub	
readDataBlock	
getEmptyInode	
getDirent	
getEmptyBlock	
setBitmapUseBit	
copyBlockBitmap	
getLargestUseBlockNo	
isBlockUse	
fillIndirectBlocksRec	
writeDataBlockAddr	
writeDataBlockSub	
writeDataBlock	
overWriteDataBlock	
copyBlock	
getDirentFromParent	
getInodeInd	
getInode	
getBlockData	
getParentDirentList	
addDirectoryEntry	
makeFile	
calcBlockICVRec	
calcBlockICV	
calcBlockEncode	
encodeIndirectBlocks	
encodeDataBlock	
encodeAllUseFiles	
encodeBlocks	
encodeAllUseBlocks	
writeBATEntry	
_writeBATEntry	
writeIndirectBATEntryRec	
cancelImageCompaction	
execBlockCompaction	
calcMetadataBlocks	
allocDevImageBuff	
freeDevImageBuff	
encodeIndirectBlockRec	
getSignature	
getICV	
encodeXts	
decodeXts	
cryptInit	
cryptTerm	
getDinodePtr32	
getDinodePtr32s	
getDinodePtr64	
getDinodePtr64s	
getBlocks32	
getBlocks32s	
getBlocks64	
getBlocks64s	
setBlocks32	
setBlocks32s	
setBlocks64	
setBlocks64s	
getDirectBlock32	
getDirectBlock32s	
getDirectBlock64	
getDirectBlock64s	
getInDirectBlock32	
getInDirectBlock32s	
getInDirectBlock64	
getInDirectBlock64s	
getBlockICV32	
getBlockICV32s	
getBlockICV64	
getBlockICV64s	
getBlockNo32	
getBlockNo32s	
getBlockNo64	
getBlockNo64s	
setBlockNo32	
setBlockNo32s	
setBlockNo64	
setBlockNo64s	
setAddrPtr32	
setAddrPtr32s	
setAddrPtr64	
setAddrPtr64s	
getInDirectAddr32	
getInDirectAddr32s	
getInDirectAddr64	
getInDirectAddr64s	
getInodeAddr32	
getInodeAddr32s	
getInodeAddr64	
getInodeAddr64s	
_pfs_compaction	
_pfs_compaction_init	
_pfs_compaction_term	
pfs_img_compaction	
pfsctl_ioctl	
pfsctldev_load	
exfatfs_cmount	
_exfatfs_mount	
_exfatfs_unmount	
_exfatfs_fhtovp	
_exfatfs_sync	
sce_exfatfs_vfs_init	
sce_exfatfs_vfs_uninit	
_exfatfs_index_for_directory	
_exfatfs_create	
_exfatfs_mknod	
_exfatfs_setattr	
_exfatfs_ioctl	
_exfatfs_fsync	
_exfatfs_remove	
msdosfs_link_0	
_exfatfs_rename	
_exfatfs_mkdir	
_exfatfs_rmdir	
msdosfs_symlink_0	
_exfatfs_reclaim	
_exfatfs_bmap	
_exfatfs_strategy	
msdosfs_print_0	
msdosfs_pathconf_0	
set_filename_type	
set_bootsector	
quick_check_free_cluster_count	
exfatfs_node_data	
exfatfs_set_node_data	
sceFatfsCpInitTable	
sceFatfsCpExitTable	
sceFatfsCpConvstrUtoL	
_utoj	
_uto1char	
_utoc	
_uto5	
sceFatfsCpConvstrLtoU	
_jtou	
_1chartou	
_ctou	
_5tou	
sceFatfsCpIsWCharL	
sceFatfsCpToupperChar	
sceFatfsCpSetCodepage	
sceFatfsCpGetCodepage	
sceFatfsCpIsWCharU	
sceFatfsWCharToupper	
sceFatfsWCharStrlen	
sceFatfsWCharStrcpy	
sceFatfsWCharStrchr	
sceFatfsWCharStrcmp	
sceFatfsWCharStrncpy	
sceFatfsCpConvstrUTF8toUTF16	
sceFatfsCpConvstrUTF16toUTF8	
sceFatfsPrepareNameset	
sceFatfsParsePath	
sceFatfsCleanupNameset	
sceFatfsIsForbiddenWChar	
sceFatfsIsForbiddenChar	
sceFatfsTimeStampSetDummy	
sceFatfsTimeStampCheckValue	
sceFatfsGetDosTimeDummy	
sceFatfsACSetFSAttributeByPermission	
sceFatfsACSetFSAttributeByAttribute	
sceFatfsACGetFSAttributeByThreadAccessLevel	
sceFatfsACCheckRWDirEntry	
sceFatfsACCheckRDirEntry	
sceFatfsACCheckOpen	
sceFatfsACCheckDirPath	
sceFatfsACGetRootDirPermission	
sceFatfsACGetDirPermission	
sceFatfsACCheckRRootDir	
sceFatfsACCheckRWRootDir	
sceFatfsACGetRootDirAttribute	
_exfatfs_notify_invalid_boot_signature	
_exfatfs_notify_invalid_sector_size	
_exfatfs_notify_unsupported_sector_size	
_exfatfs_notify_broken_cluster_chain	
_exfatfs_notify_invalid_directory_entry	
_exfatfs_notify_directory_loop	
_sceExfatfsClearDeviceVnode	
get_new_vnode	
_exfatfs_porting_lock_device	
_exfatfs_porting_unlock_device	
_exfatfs_porting_get_debug_tick	
_exfatfs_allocate_memory_for_codepage	
_exfatfs_free_memory_for_codepage	
_exfatfs_get_memory_base_for_codepage	
sceFatfsInitializeHeap	
sceFatfsFinalizeHeap	
sceFatfsCreateHeap	
sceFatfsDeleteHeap	
sceFatfsCreateHeapVl	
sceFatfsDeleteHeapVl	
exfatfs_initialize_shared_resource_lock	
exfatfs_finalize_shared_resource_lock	
_exfat_lock_shared_resource	
_exfat_unlock_shared_resource	
exfatfs_close_device_file	
exfatfs_open_device_file	
create_drive_information	
_finalize_drive_information	
_lock_drive_information_core	
_unlock_drive_information_core	
_close_device_file	
_open_device_file	
sceFatfsCheckInsertionAndEjection	
wait_metadata_flush	
exfatfs_sector_size_in_sector0	
sceExfatfsExit	
exfatfs_fat_start_sector	
exfatfs_fat_length	
exfatfs_fat_count	
exfatfs_root_entry_count	
exfatfs_sector_size	
exfatfs_cluster_offset	
exfatfs_sectors_per_cluster	
exfatfs_cluster_size	
exfatfs_cluster_group_size	
exfatfs_cluster_count	
exfatfs_get_fat_info	
_exfatfs_cluster_lock	
_exfatfs_cluster_unlock	
UVFAT_ReadDevice	
UVFAT_WriteDevice	
UVFAT_FATFlush	
UVFAT_SetGetFAT	
_read_fat_data	
UVFAT_GetFatEmptyClust	
exfatfs_search_upcase_entry	
exfatfs_set_bitmap_cluster	
exfatfs_free_cluster_count	
exfatfs_fake_free_cluster_count	
exfatfs_check_free_cluster	
_exfatfs_porting_get_access_level	
_exfatfs_porting_get_impersonate_id	
_exfatfs_porting_dummy_fs_set_attribute_by_permission	
_exfatfs_porting_dummy_fs_set_attribute	
_exfatfs_porting_dummy_fs_attribute_by_thread	
_exfatfs_porting_dummy_fs_mode	
_exfatfs_porting_dummy_check_fs_attribute	
_exfatfs_porting_dummy_fs_mode_of_root	
UVFAT_FATAtrToStatRaw	
UVFAT_StatToFATAttr	
UVFAT_allocationbitmapentry	
_read_clusters	
sce_exfatfs_vop_bmap	
_cluster_at_offset	
sce_exfatfs_vop_strategy	
UVFAT_SearchGetFile_ex	
_to_upper	
exfatfs_unused_root_directory_slot	
exfatfs_cluster_count_used_for_file	
exfatfs_set_bpb	
UVFAT_ReadManagementArea	
exfatfs_release_mount_info	
exfatfs_reserve_mount_info	
exfatfs_set_mount_info	
sce_exfatfs_vfs_statfs	
UVFAT_GetTime	
sce_exfatfs_vop_reclaim	
exfatfs_inactive	
_exfatfs_set_root	
exfatfs_get_root_fnode	
_exfatfs_search_entry_node_from_position	
sce_exfatfs_vfs_root	
_exfatfs_search_root	
_exfatfs_add_reference	
_setup_node_data	
exfatfs_node_size	
sce_exfatfs_vop_inactive	
_exfatfs_file_id	
sce_exfatfs_vop_access	
sce_exfatfs_vop_getattr	
_exfatfs_set_fat_entry	
_exfatfs_prepare_dot_entry	
_exfatfs_prepare_dotdot_entry	
_exfatfs_write_directory_start_cluster	
_exfatfs_change_parent_directory_cluster	
_exfatfs_flush_metadata	
_update_directory_entry_of_fnode	
_exfatfs_update_metadata	
sce_exfatfs_vop_lookup	
_initialize_entry_check_work	
_connect_parent_and_entry_fnode	
_search_directory_entry	
sce_exfatfs_vop_readdir	
_read_entries	
sce_exfatfs_vop_setattr	
_exfatfs_set_size	
sce_exfatfs_vop_remove	
_delete_directory_entry	
sce_exfatfs_vop_rmdir	
_is_directory_empty	
_exfatfs_create_directory_entry	
_create_directory_entry	
sce_exfatfs_vop_create	
_set_entry_name	
_create_new_entry_and_vnode	
sce_exfatfs_vop_mkdir	
_allocate_cluster_chunk	
_release_cluster_chunk	
sce_exfatfs_vop_rename	
_is_same_entry	
_exfatfs_search_entry_node	
sce_exfatfs_vop_open	
sce_exfatfs_vfs_fhtovp	
sce_exfatfs_vop_vptofh	
sce_exfatfs_vop_read	
_contiguous_cluster_count_from_cluster	
sce_exfatfs_vop_write	
sce_exfatfs_vop_fsync	
sce_exfatfs_vop_close	
sce_exfatfs_vop_ioctl	
sce_exfatfs_vfs_sync	
_check_next_cluster	
_next_cluster	
read_device_vnode	
_append_cluster	
_set_lfn_entry	
_set_exfat_name_entry	
_prepare_exfat_file_entry_of_fnode	
_check_valid_exfat_entry	
_check_valid_fat_entry	
_read_root_directory_entries	
_read_directory_entries	
_parse_for_readdir_core	
_parse_directory_data	
_register_entry_fnode	
_exfatfs_cleanup_device_file_buffer	
_all_free_cluster_checked	
_initialize_free_cluster_count	
_set_free_cluster_count	
_use_cluster	
_free_cluster	
_exfatfs_use_FAT_entry	
_exfatfs_free_FAT_entry	
UVFAT_MediaFinalize	
UVFAT_WriteManagementArea	
UVFAT_CloseDevice	
UVFAT_GetTrack	
UVFAT_ReadCluster	
UVFAT_GetTrackSize	
UVFAT_ReadCblock	
UVFAT_WriteCblock	
UVFAT_FlushFdBuf	
UVFAT_GetUselessTime	
UVFAT_TimeToFATDate	
UVFAT_TimeToFATTime	
UVFAT_IsUVFAT	
UVFAT_FATAtrToStat	
UVFAT_FATAtrToStat_ex	
UVFAT_FATTimeToTime	
_exfat_offset_minute_from_utc	
UVFAT_SetTimeOffset_ex	
UVFAT_FATTimeToTime_ex	
UVFAT_OpenDevice	
UVFAT_GetDevSize	
UVFAT_GetDevSectors_ex	
UVFAT_GetDevSectors	
UVFAT_GetSectorSize	
UVFAT_GetBlockSize	
UVFAT_SetEOF	
_root_cluster	
_exfatfs_fnode_block_size	
_exfatfs_fnode_set_tail_cluster	
_exfatfs_fnode_update_current_tail	
_update_cached_index	
_check_directory_size	
_set_root_cluster_and_cluster_count	
_set_start_cluster	
_set_start_cluster_and_cluster_count	
exfatfs_is_directory	
UVFAT_ConvFileName	
_exfatfs_search_next_short_name	
UVFAT_ReadDirEntry	
_ReadDir_fat	
UVFAT_SearchFileFromDir	
UVFAT_InitDirEntry_ex	
_exfatfs_release_temporal_file	
UVFAT_InitDirEntry	
_SearchFileFromDir	
_exfatfs_setup_for_lookup	
UVFAT_SearchFiles_ex	
UVFAT_SearchFile	
_get_root_fnode	
uvexfatCalcChecksum	
_invalidate_fd_cache_position	
UVFAT_LinkFromDir	
UVFAT_ConvShortName	
UVFAT_WriteDirEntry	
UVFAT_UnLinkFromDir	
UVFAT_ChangeStatFileentry	
UVFAT_ChangeStatStrentry	
UVFAT_SetStatFromDir	
UVFAT_NextCluster	
UVFAT_NextCluster_ex_NFC	
UVFAT_readLinkClust_ex_NFC	
UVFAT_UpdateContinueClusterLink_ex	
UVFAT_SearchEmptyCluster	
UVFAT_FreeClusterLink	
UVFAT_FreeClusterLink_ex_NFC	
_setShortNameString	
UVFAT_UpdateUniqueSFN	
_flush_multi_open	
_has_parent_directory	
_exfatfs_set_create_time	
_exfatfs_update_modified_time	
UVFAT_ReadData	
_set_position_and_cluster_index	
_read_into_fd_cache	
UVFAT_UpdateMetaData	
UVFAT_WriteData	
_start_cluster	
_assign_first_cluster_of_file	
UVFAT_InitFd	
sync_multi_open	
_next_cluster_0	
UVFAT_WriteStatDir_ex	
UVFAT_searchallocationbitmap	
UVFAT_readallocationbitmap	
UVFAT_flush_allocationbitmap_entry	
UVFAT_readupcasetable	
UVFAT_freeupcasetable	
UVFAT_exfatfsToUpcase	
alloc	
uvfat_free	
UVFAT_NameHashAll	
UVFAT_WCharStrlen	
UVFAT_WCharStrncpy	
UVFAT_WCharStrcmp	
UVFAT_WCharStrncat	
UVFAT_CpConvstrLtoU	
UVFAT_IsASCII_UTF16	
UVFAT_random_ASCII	
UVFAT_ToUpperBuf	
UVFAT_InitLockMountPoint	
UVFAT_FinalizeLockMountPoint	
UVFAT_LockMountPoint	
UVFAT_UnlockMountPoint	
UVFAT_SetStat_Core	
UVFAT_MakeDir_vop	
UVFAT_ClearRoot	
UVFAT_InitFnode	
_exfatfs_active_fnode_count	
_exfatfs_active_file_count	
UVFAT_Initialize	
UVFAT_Umount	
UVFAT_Read	
UVFAT_Write	
_reference_count_of_fullpath	
_GetUnusedFnode	
UVFAT_GetFnode	
_GetFnode	
UVFAT_Create	
_update_fnode_fullpath	
UVFAT_GetParentFnode	
UVFAT_ReleaseFnode	
_exfatfs_initialize_parent_information	
UVFAT_CheckAlreadyOpened	
_InitializeFpPosition	
_AllocateFdBuffer	
_FreeFpCache	
_ReleaseFp	
_ReserveUnusedFd	
UVFAT_GetFd	
UVFAT_ReleaseFd	
_exfatfs_default_resource	
exfatfs_default_shared_resource	
_exfatfs_print_fnode	
_exfatfs_invalidate_parent_entry	
_deleted_entry	
_initialize_cluster_cache_array	
_invalidate_cluster_cache_element	
_initialize_cluster_cache_data	
_invalidate_cluster_cache_data	
sceExfatfsInit	
uvexfat_convert_errno	
_sceFatfsWriteModeFromStat	
_used_resource_count	
_sceExfatfsUmountCleanup	
sceExfatfsVopUmount	
_exfatfs_renamed_file	
_exfatfsUniqNodeId	
_set_directory_offset	
exfatfs_utf16_to_utf8	
_utf16_to_utf8	
_exfatfs_cluster_size	
exfatfs_access_check	
_sceExfatfsVopGetRoot	
_sceExfatfsVopSetRoot	
sceExfatfsVopInactive	
sceExfatfsVopDecodePathElem	
_exfatfs_reference_count	
_temporal_nameset	
sceExfatfsVopLookup	
_exfatfs_search_entry	
sceExfatfsVopGetstat	
_sceStatConvertUvexfattoSceIo	
sceExfatfsVopDclose	
sceExfatfsVopClose	
_exfatfs_vnode_size	
_exfatfs_file_size	
sceExfatfsVopLseek	
sceExfatfsVopPread	
sceExfatfsVopPwrite	
_exfatfs_can_change_file_size	
_exfatfs_open_common	
sceExfatfsVopDopen	
sceExfatfsVopChstat	
_exfatfs_check_writable	
_exfatfs_set_whiteout_directory	
_exfatfs_clear_whiteout_directory	
_exfatfs_whiteout_directory	
_exfatfs_whiteout_directory_of_drive	
_exfatfs_set_whiteout_bit	
_exfatfs_free_cluster_link	
sceExfatfsVopRemove	
sceExfatfsVopRmdir	
sceExfatfsVopMkdir	
sceExfatfsVopCreate	
sceExfatfsVopLink	
sceExfatfsVopUnlink	
sceFatfsOpIoctlEof	
_sceExfatfsGetUniqId	
_VopGetstatRootdir	
sceExfatfsVopSync	
sceExfatfsVopCleanup	
exfatfs_flush_all	
__stack_chk_fail	
__stack_chk_init	
hdmi_open	
hdmi_close	
hdmi_ioctl	
hdmi_modevent	
hdmiProcEvent	
hdmiShutdownPostSync	
initHdmiModule	
hdmiEventHandler	
sceSetBackToUnpluggedSequence	
sceSetBackToWaitResolutionSequence	
getCurrentResolution	
isSDResolution	
getAspectRatio	
getTmdsClock	
sceHdmiSetDeviceVideoMute	
sceHdmiSetAvMute	
sceHdmiInitVideoConfig	
sceHdmiSetVideoConfig	
sceHdmiSetVideoReConfig	
setHdmiDeviceVideoConfigSetting	
sceHdmiOutputMode	
setHdmiDefaultMode	
sceHdmiSetAudioConfig	
setAudioConfig	
sceHdmiSetAudioMute	
sceHdmiSetAudioReConfig	
sceControlEmcHdmiService	
sceControlHdmiEvent	
createIccCommandWrite	
setHdmiICIntEnable	
checkEmcVersion	
isCeaExtension	
isAksv	
getDisplayPortEqualizerStatus	
sceHdmiDebugDump	
sceHdmiInitSetHdmiPacket	
sceHdmiSet_SPDI_Packet	
sceHdmiSet_AVI_Packet	
sceHdmiSet_Audio_Packet	
sceSetHdcpRegister	
sceSetHdcpSequence1st	
sceSetHdcpSequence2nd	
sceSetHdcpSequence3rd	
sceInitHdcp	
sceControlHDCP	
sceChangeHdcpMode	
mdbg_basic_callback	
mdbg_basic_dl_notify_cb	
mdbg_basic_debug_suspend_notify_cb	
dispatch	
userpull	
userpush	
bulkmove	
mdbg_pthread_fill_objinfo	
mdbg_size_pthread_t	
mdbg_pthread_fill_thrinfo	
ACPWrite	
ACPRead	
ip1000phys	
dce_dcp_calculate_color_conversion_internal_rgb_to_bt709	
bt_hid_auth_recv_response_key	
__set_sysinit_set_sym_sdt_vfs_vop_vop_lookup_return2_init_sys_init	
__set_sysinit_set_sym_sdt_vfs_vop_vop_cachedlookup_return0_init_sys_init	
__set_sysinit_set_sym_sdt_vfs_vop_vop_mknod_return0_init_sys_init	
__set_sysinit_set_sym_sdt_vfs_vop_vop_mknod_return1_init_sys_init	
__set_sysinit_set_sym_sdt_vfs_vop_vop_open_entry1_init_sys_init	
__set_sysinit_set_sym_sdt_vfs_vop_vop_open_return_init_sys_init	
__set_sysinit_set_sym_sdt_vfs_vop_vop_close_entry0_init_sys_init	
__set_sysinit_set_sym_sdt_vfs_vop_vop_close_return1_init_sys_init	
__set_sysinit_set_sym_sdt_vfs_vop_vop_close_return2_init_sys_init	
__set_sysinit_set_sym_sdt_vfs_vop_vop_accessx_entry1_init_sys_init	
__set_sysinit_set_sym_sdt_vfs_vop_vop_unp_bind_entry_init_sys_init	
__set_sysinit_set_sym_thread_show_sys_init	
__set_sysinit_set_sym_p0init_sys_init	
__set_sysinit_set_sym_M_SUBPROC_init_sys_init	
__set_sysinit_set_sym_rman_show_sys_init	
__set_sysinit_set_sym_M_AUDITCRED_init_sys_init	
__set_sysinit_set_sym_vmmeter_initialize_sys_init	
__set_sysinit_set_sym_vmdaemon_sys_init	
__set_sysinit_set_sym_elf64_sys_init	
__set_sysinit_set_sym_M_QPI_init_sys_init	
__set_sysinit_set_sym_qpi_nexusmodule_sys_init	
__set_sysinit_set_sym_M_MSI_init_sys_init	
__set_sysinit_set_sym___Tunable_init_71_sys_init	
__set_sysinit_set_sym___Tunable_init_77_sys_init_0	
__set_sysinit_set_sym___Tunable_init_82_sys_init	
__set_sysinit_set_sym_M_JITSHMFD_init_sys_init	
__set_sysinit_set_sym_jitshm_init_sys_init	
__set_sysinit_set_sym_apcie_pcimodule_sys_init	
__set_sysinit_set_sym_spm_pcimodule_sys_init	
__set_sysinit_set_sym_M_TWSI_init_sys_init	
__set_sysinit_set_sym_M_TRACE_init_sys_init	
__set_sysinit_set_sym_sdf_55_sys_init	
__set_sysinit_set_sym_zlibmodule_sys_init	
__set_sysuninit_set_sym_M_LED_uninit_sys_uninit	
__set_sysuninit_set_sym_M_GBASE_IRQ_uninit_sys_uninit	
__set_sysctl_set_sym_sysctl___kern_cam_ada_ada_send_ordered	
__set_sysctl_set_sym_sysctl___hw_bge	
__set_sysctl_set_sym_sysctl___hw_bxe	
__set_sysctl_set_sym_sysctl___hw_bxe_queue_count	
__set_sysctl_set_sym_sysctl___hw_bxe_mrrs	
__set_sysctl_set_sym_sysctl___hw_em_rx_int_delay	
__set_sysctl_set_sym_sysctl___hw_em_rxd	
__set_sysctl_set_sym_sysctl___hw_em_txd	
__set_sysctl_set_sym_sysctl___kern_geom_label_ntfs	
__set_sysctl_set_sym_sysctl___kern_geom_label_ntfs_enable	
__set_sysctl_set_sym_sysctl___kern_arandom	
__set_sysctl_set_sym_sysctl___user_re_dup_max	
__set_modmetadata_set_sym__mod_metadata_ata_amd_version	
__set_modmetadata_set_sym__mod_metadata_md_ata_promise_pci	
__set_modmetadata_set_sym__mod_metadata_md_ata_promise_on_atapci	
__set_modmetadata_set_sym__mod_metadata_md_ata_serverworks_pci	
__set_modmetadata_set_sym__mod_metadata_md_ata_sii_pci_on_kernel	
__set_modmetadata_set_sym__mod_metadata_md_ata_sii_on_ata	
__set_modmetadata_set_sym__mod_metadata_md_ata_via_pci_on_kernel	
__set_modmetadata_set_sym__mod_metadata_md_ata_via_pci	
__set_modmetadata_set_sym__mod_metadata_md_ata_via_on_atapci	
__set_modmetadata_set_sym__mod_metadata_md_bge_on_miibus	
__set_modmetadata_set_sym__mod_metadata_md_bxe_on_ether	
__set_modmetadata_set_sym__mod_metadata_md_em_on_pci	
__set_modmetadata_set_sym__mod_metadata_md_kbdmux_on_kernel	
__set_modmetadata_set_sym__mod_metadata_md_kbdmux	
__set_modmetadata_set_sym__mod_metadata_md_g_md	
__set_modmetadata_set_sym__mod_metadata_md_acphy_miibus	
__set_modmetadata_set_sym__mod_metadata_md_amphy_miibus_on_kernel	
__set_modmetadata_set_sym__mod_metadata_md_atphy_miibus_on_kernel	
__set_modmetadata_set_sym__mod_metadata_md_axphy_miibus	
__set_modmetadata_set_sym__mod_metadata_md_bmtphy_miibus_on_kernel	
__set_modmetadata_set_sym__mod_metadata_md_bmtphy_miibus	
__set_modmetadata_set_sym__mod_metadata_md_brgphy_miibus_on_kernel	
__set_modmetadata_set_sym__mod_metadata_md_ciphy_miibus_on_kernel	
__set_modmetadata_set_sym__mod_metadata_md_e1000phy_miibus	
__set_modmetadata_set_sym__mod_metadata_md_gentbi_miibus	
__set_modmetadata_set_sym__mod_metadata_md_icsphy_miibus_on_kernel	
__set_modmetadata_set_sym__mod_metadata_md_ip1000phy_miibus_on_kernel	
__set_modmetadata_set_sym__mod_metadata_md_jmphy_miibus	
__set_modmetadata_set_sym__mod_metadata_md_lxtphy_miibus_on_kernel	
__set_modmetadata_set_sym__mod_metadata_md_lxtphy_miibus	
__set_modmetadata_set_sym__mod_metadata_miibus_version	
__set_modmetadata_set_sym__mod_metadata_mii_bitbang_version	
__set_modmetadata_set_sym__mod_metadata_md_nsgphy_miibus	
_binary_AjmDecAt9StateSizeTable_bin_end